From 8ed301a2641687e436d3375864c5475fc4dfefc9 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Thu, 2 Apr 2015 11:51:20 +0300 Subject: [PATCH] AC701: Common, removed system clock constraint --- projects/common/ac701/ac701_system_constr.xdc | 2 -- 1 file changed, 2 deletions(-) diff --git a/projects/common/ac701/ac701_system_constr.xdc b/projects/common/ac701/ac701_system_constr.xdc index 503e0ee30..4b33ecee9 100644 --- a/projects/common/ac701/ac701_system_constr.xdc +++ b/projects/common/ac701/ac701_system_constr.xdc @@ -8,8 +8,6 @@ set_property -dict {PACKAGE_PIN U4 IOSTANDARD LVCMOS15} [get_ports sys_rst set_property -dict {PACKAGE_PIN R3 IOSTANDARD DIFF_SSTL15 DIFF_TERM TRUE} [get_ports sys_clk_p] set_property -dict {PACKAGE_PIN P3 IOSTANDARD DIFF_SSTL15 DIFF_TERM TRUE} [get_ports sys_clk_n] -create_clock -name sys_clk -period 5.00 [get_ports sys_clk_p] - # ethernet set_property -dict {PACKAGE_PIN V18 IOSTANDARD LVCMOS18} [get_ports phy_reset_n]