library: Move ad_iobuf to the common library, as it's not Xilinx specific

Updated all system_project and Makefiles
main
Adrian Costina 2020-09-23 09:30:18 +01:00 committed by imoldovan
parent 912e09ad18
commit 9093a8c428
172 changed files with 194 additions and 192 deletions

View File

@ -10,7 +10,7 @@ M_DEPS += system_constr_ad40xx.xdc
M_DEPS += ../common/ad40xx_bd.tcl M_DEPS += ../common/ad40xx_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -25,13 +25,13 @@ adi_project ad40xx_fmc_zed
if {$ad40xx_adaq400x_n == 1} { if {$ad40xx_adaq400x_n == 1} {
adi_project_files ad40xx_fmc_zed [list \ adi_project_files ad40xx_fmc_zed [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top_ad40xx.v" \ "system_top_ad40xx.v" \
"system_constr_ad40xx.xdc" \ "system_constr_ad40xx.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]
} elseif {$ad40xx_adaq400x_n == 0} { } elseif {$ad40xx_adaq400x_n == 0} {
adi_project_files ad40xx_fmc_zed [list \ adi_project_files ad40xx_fmc_zed [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top_adaq400x.v" \ "system_top_adaq400x.v" \
"system_constr_adaq400x.xdc" \ "system_constr_adaq400x.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]

View File

@ -5,17 +5,20 @@
PROJECT_NAME := ad469x_fmc_zed PROJECT_NAME := ad469x_fmc_zed
M_DEPS += ../common/config.tcl
M_DEPS += ../common/ad469x_bd.tcl M_DEPS += ../common/ad469x_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_edge_detect.v
M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/spi_engine/scripts/spi_engine.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_edge_detect.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_pulse_gen
LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_spdif_tx
LIB_DEPS += axi_sysid LIB_DEPS += axi_sysid
LIB_DEPS += spi_engine/axi_spi_engine LIB_DEPS += spi_engine/axi_spi_engine
@ -24,6 +27,5 @@ LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += spi_engine/spi_engine_offload LIB_DEPS += spi_engine/spi_engine_offload
LIB_DEPS += sysid_rom LIB_DEPS += sysid_rom
LIB_DEPS += util_i2c_mixer LIB_DEPS += util_i2c_mixer
LIB_DEPS += axi_pulse_gen
include ../../scripts/project-xilinx.mk include ../../scripts/project-xilinx.mk

View File

@ -13,7 +13,7 @@ adi_project ad469x_fmc_zed 0 [list \
] ]
adi_project_files ad469x_fmc_zed [list \ adi_project_files ad469x_fmc_zed [list \
"../../../library/xilinx/common/ad_iobuf.v" \ "../../../library/common/ad_iobuf.v" \
"../../../library/common/ad_edge_detect.v" \ "../../../library/common/ad_edge_detect.v" \
"../../../library/util_cdc/sync_bits.v" \ "../../../library/util_cdc/sync_bits.v" \
"../../common/zed/zed_system_constr.xdc" \ "../../common/zed/zed_system_constr.xdc" \

View File

@ -7,7 +7,7 @@ PROJECT_NAME := ad5758_sdz_zed
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
adi_project ad5758_sdz_zed adi_project ad5758_sdz_zed
adi_project_files ad5758_sdz_zed [list \ adi_project_files ad5758_sdz_zed [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]

View File

@ -8,7 +8,7 @@ PROJECT_NAME := ad5766_sdz_zed
M_DEPS += ../common/ad5766_bd.tcl M_DEPS += ../common/ad5766_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_ad5766 LIB_DEPS += axi_ad5766
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
adi_project ad5766_sdz_zed adi_project ad5766_sdz_zed
adi_project_files ad5766_sdz_zed [list \ adi_project_files ad5766_sdz_zed [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]

View File

@ -9,9 +9,9 @@ M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_ad6676 LIB_DEPS += axi_ad6676
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -9,7 +9,7 @@ adi_project ad6676evb_vc707
adi_project_files ad6676evb_vc707 [list \ adi_project_files ad6676evb_vc707 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/library/common/ad_sysref_gen.v" \ "$ad_hdl_dir/library/common/ad_sysref_gen.v" \
"$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ] "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ]

View File

@ -8,9 +8,9 @@ PROJECT_NAME := ad6676evb_zc706
M_DEPS += ../common/ad6676evb_bd.tcl M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_ad6676 LIB_DEPS += axi_ad6676
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -9,7 +9,7 @@ adi_project ad6676evb_zc706
adi_project_files ad6676evb_zc706 [list \ adi_project_files ad6676evb_zc706 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/library/common/ad_sysref_gen.v" \ "$ad_hdl_dir/library/common/ad_sysref_gen.v" \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ]

View File

@ -8,7 +8,7 @@ PROJECT_NAME := ad7134_fmc_zed
M_DEPS += ../common/ad7134_bd.tcl M_DEPS += ../common/ad7134_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
adi_project ad7134_fmc_zed adi_project ad7134_fmc_zed
adi_project_files ad7134_fmc_zed [list \ adi_project_files ad7134_fmc_zed [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]

View File

@ -8,7 +8,7 @@ PROJECT_NAME := ad738x_fmc_zed
M_DEPS += ../common/ad738x_bd.tcl M_DEPS += ../common/ad738x_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
adi_project ad738x_fmc_zed adi_project ad738x_fmc_zed
adi_project_files ad738x_fmc_zed [list \ adi_project_files ad738x_fmc_zed [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]

View File

@ -10,7 +10,7 @@ M_DEPS += system_constr_differential.xdc
M_DEPS += ../common/ad7405_bd.tcl M_DEPS += ../common/ad7405_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -24,7 +24,7 @@ adi_project ad7405_fmc_zed
if { $adc_port_type == 0 } { if { $adc_port_type == 0 } {
adi_project_files ad7405_fmc_zed [list \ adi_project_files ad7405_fmc_zed [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top_singlended.v" \ "system_top_singlended.v" \
"system_constr_singlended.xdc" \ "system_constr_singlended.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]
@ -32,7 +32,7 @@ if { $adc_port_type == 0 } {
} elseif { $adc_port_type == 1 } { } elseif { $adc_port_type == 1 } {
adi_project_files ad7405_fmc_zed [list \ adi_project_files ad7405_fmc_zed [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top_differential.v" \ "system_top_differential.v" \
"system_constr_differential.xdc" \ "system_constr_differential.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]

View File

@ -10,7 +10,7 @@ M_DEPS += parallel_if_constr.xdc
M_DEPS += ../common/ad7616_bd.tcl M_DEPS += ../common/ad7616_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_ad7616 LIB_DEPS += axi_ad7616
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -23,7 +23,7 @@ adi_project ad7616_sdz_zc706
if { $ad7616_if == 0 } { if { $ad7616_if == 0 } {
adi_project_files ad7616_sdz_zc706 [list \ adi_project_files ad7616_sdz_zc706 [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top_si.v" \ "system_top_si.v" \
"serial_if_constr.xdc" \ "serial_if_constr.xdc" \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"]
@ -31,7 +31,7 @@ if { $ad7616_if == 0 } {
} elseif { $ad7616_if == 1 } { } elseif { $ad7616_if == 1 } {
adi_project_files ad7616_sdz_zc706 [list \ adi_project_files ad7616_sdz_zc706 [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top_pi.v" \ "system_top_pi.v" \
"parallel_if_constr.xdc" \ "parallel_if_constr.xdc" \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"]

View File

@ -10,7 +10,7 @@ M_DEPS += parallel_if_constr.xdc
M_DEPS += ../common/ad7616_bd.tcl M_DEPS += ../common/ad7616_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_ad7616 LIB_DEPS += axi_ad7616
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -23,7 +23,7 @@ adi_project ad7616_sdz_zed
if { $ad7616_if == 0 } { if { $ad7616_if == 0 } {
adi_project_files ad7616_sdz_zed [list \ adi_project_files ad7616_sdz_zed [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top_si.v" \ "system_top_si.v" \
"serial_if_constr.xdc" \ "serial_if_constr.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]
@ -31,7 +31,7 @@ if { $ad7616_if == 0 } {
} elseif { $ad7616_if == 1 } { } elseif { $ad7616_if == 1 } {
adi_project_files ad7616_sdz_zed [list \ adi_project_files ad7616_sdz_zed [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top_pi.v" \ "system_top_pi.v" \
"parallel_if_constr.xdc" \ "parallel_if_constr.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]

View File

@ -8,8 +8,8 @@ PROJECT_NAME := ad77681evb_zed
M_DEPS += ../common/ad77681evb_bd.tcl M_DEPS += ../common/ad77681evb_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/xilinx/common/ad_data_clk.v M_DEPS += ../../../library/xilinx/common/ad_data_clk.v
M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
adi_project ad77681evb_zed adi_project ad77681evb_zed
adi_project_files ad77681evb_zed [list \ adi_project_files ad77681evb_zed [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/library/xilinx/common/ad_data_clk.v" \ "$ad_hdl_dir/library/xilinx/common/ad_data_clk.v" \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \

View File

@ -9,7 +9,7 @@ M_DEPS += ../common/ad7768evb_bd.tcl
M_DEPS += ../common/ad7768_if.v M_DEPS += ../common/ad7768_if.v
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -9,7 +9,7 @@ adi_project_files ad7768evb_zed [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" ] "$ad_hdl_dir/library/common/ad_iobuf.v" ]
adi_project_run ad7768evb_zed adi_project_run ad7768evb_zed

View File

@ -11,8 +11,8 @@ M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc
M_DEPS += ../../common/vcu118/vcu118_system_bd.tcl M_DEPS += ../../common/vcu118/vcu118_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_3w_spi.v M_DEPS += ../../../library/common/ad_3w_spi.v
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -59,7 +59,7 @@ adi_project_files ad9081_fmca_ebz_vcu118 [list \
"system_constr.xdc"\ "system_constr.xdc"\
"timing_constr.xdc"\ "timing_constr.xdc"\
"../../../library/common/ad_3w_spi.v"\ "../../../library/common/ad_3w_spi.v"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/vcu118/vcu118_system_constr.xdc" ] "$ad_hdl_dir/projects/common/vcu118/vcu118_system_constr.xdc" ]

View File

@ -11,8 +11,8 @@ M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_3w_spi.v M_DEPS += ../../../library/common/ad_3w_spi.v
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -45,7 +45,7 @@ adi_project_files ad9081_fmca_ebz_zcu102 [list \
"system_constr.xdc"\ "system_constr.xdc"\
"timing_constr.xdc"\ "timing_constr.xdc"\
"../../../library/common/ad_3w_spi.v"\ "../../../library/common/ad_3w_spi.v"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ]

View File

@ -10,8 +10,8 @@ M_DEPS += ../../daq3/common/daq3_spi.v
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc
M_DEPS += ../../common/vcu118/vcu118_system_bd.tcl M_DEPS += ../../common/vcu118/vcu118_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac
LIB_DEPS += axi_sysid LIB_DEPS += axi_sysid

View File

@ -7,7 +7,7 @@ adi_project ad9208_dual_ebz_vcu118
adi_project_files ad9208_dual_ebz_vcu118 [list \ adi_project_files ad9208_dual_ebz_vcu118 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/daq3/common/daq3_spi.v" \ "$ad_hdl_dir/projects/daq3/common/daq3_spi.v" \
"$ad_hdl_dir/projects/common/vcu118/vcu118_system_constr.xdc" ] "$ad_hdl_dir/projects/common/vcu118/vcu118_system_constr.xdc" ]

View File

@ -9,7 +9,7 @@ M_DEPS += ../common/ad9265_spi.v
M_DEPS += ../common/ad9265_bd.tcl M_DEPS += ../common/ad9265_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_ad9265 LIB_DEPS += axi_ad9265
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -8,7 +8,7 @@ adi_project_files ad9265_fmc_zc706 [list \
"../common/ad9265_spi.v" \ "../common/ad9265_spi.v" \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"]
adi_project_run ad9265_fmc_zc706 adi_project_run ad9265_fmc_zc706

View File

@ -9,7 +9,7 @@ M_DEPS += ../common/ad9434_spi.v
M_DEPS += ../common/ad9434_bd.tcl M_DEPS += ../common/ad9434_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_ad9434 LIB_DEPS += axi_ad9434
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -8,7 +8,7 @@ adi_project_files ad9434_fmc_zc706 [list \
"../common/ad9434_spi.v" \ "../common/ad9434_spi.v" \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"]
adi_project_run ad9434_fmc_zc706 adi_project_run ad9434_fmc_zc706

View File

@ -10,7 +10,7 @@ M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_constr.xdc
M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_ad9467 LIB_DEPS += axi_ad9467
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
adi_project ad9467_fmc_kc705 adi_project ad9467_fmc_kc705
adi_project_files ad9467_fmc_kc705 [list \ adi_project_files ad9467_fmc_kc705 [list \
"../common/ad9467_spi.v" \ "../common/ad9467_spi.v" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc"] "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc"]

View File

@ -9,7 +9,7 @@ M_DEPS += ../common/ad9467_spi.v
M_DEPS += ../common/ad9467_bd.tcl M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_ad9467 LIB_DEPS += axi_ad9467
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
adi_project ad9467_fmc_zed adi_project ad9467_fmc_zed
adi_project_files ad9467_fmc_zed [list \ adi_project_files ad9467_fmc_zed [list \
"../common/ad9467_spi.v" \ "../common/ad9467_spi.v" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]

View File

@ -8,7 +8,7 @@ PROJECT_NAME := ad9739a_fmc_zc706
M_DEPS += ../common/ad9739a_fmc_bd.tcl M_DEPS += ../common/ad9739a_fmc_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_ad9739a LIB_DEPS += axi_ad9739a
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -9,7 +9,7 @@ adi_project ad9739a_fmc_zc706
adi_project_files ad9739a_fmc_zc706 [list \ adi_project_files ad9739a_fmc_zc706 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ]
adi_project_run ad9739a_fmc_zc706 adi_project_run ad9739a_fmc_zc706

View File

@ -10,9 +10,9 @@ M_DEPS += ../common/util_axis_syncgen.v
M_DEPS += ../common/ad_fmclidar1_ebz_bd.tcl M_DEPS += ../common/ad_fmclidar1_ebz_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -6,7 +6,7 @@ adi_project ad_fmclidar1_ebz_zc706
adi_project_files ad_fmclidar1_ebz_zc706 [list \ adi_project_files ad_fmclidar1_ebz_zc706 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ]
adi_project_run ad_fmclidar1_ebz_zc706 adi_project_run ad_fmclidar1_ebz_zc706

View File

@ -10,9 +10,9 @@ M_DEPS += ../common/util_axis_syncgen.v
M_DEPS += ../common/ad_fmclidar1_ebz_bd.tcl M_DEPS += ../common/ad_fmclidar1_ebz_bd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac
LIB_DEPS += axi_laser_driver LIB_DEPS += axi_laser_driver

View File

@ -6,7 +6,7 @@ adi_project ad_fmclidar1_ebz_zcu102
adi_project_files ad_fmclidar1_ebz_zcu102 [list \ adi_project_files ad_fmclidar1_ebz_zcu102 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ]
adi_project_run ad_fmclidar1_ebz_zcu102 adi_project_run ad_fmclidar1_ebz_zcu102

View File

@ -8,12 +8,13 @@ PROJECT_NAME := adaq7980_sdz_zed
M_DEPS += ../common/adaq7980_bd.tcl M_DEPS += ../common/adaq7980_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_pulse_gen
LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_spdif_tx
LIB_DEPS += axi_sysid LIB_DEPS += axi_sysid
LIB_DEPS += spi_engine/axi_spi_engine LIB_DEPS += spi_engine/axi_spi_engine
@ -22,6 +23,5 @@ LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += spi_engine/spi_engine_offload LIB_DEPS += spi_engine/spi_engine_offload
LIB_DEPS += sysid_rom LIB_DEPS += sysid_rom
LIB_DEPS += util_i2c_mixer LIB_DEPS += util_i2c_mixer
LIB_DEPS += axi_pulse_gen
include ../../scripts/project-xilinx.mk include ../../scripts/project-xilinx.mk

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
adi_project adaq7980_sdz_zed adi_project adaq7980_sdz_zed
adi_project_files adaq7980_sdz_zed [list \ adi_project_files adaq7980_sdz_zed [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"]

View File

@ -10,7 +10,7 @@ M_DEPS += cmos_constr.xdc
M_DEPS += ../common/adrv9001_bd.tcl M_DEPS += ../common/adrv9001_bd.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_adrv9001 LIB_DEPS += axi_adrv9001
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -28,7 +28,7 @@ adi_project adrv9001_zcu102 0 [list \
adi_project_files {} [list \ adi_project_files {} [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ]
if {$CMOS_LVDS_N == 0} { if {$CMOS_LVDS_N == 0} {

View File

@ -9,7 +9,7 @@ M_DEPS += cmos_constr.xdc
M_DEPS += ../common/adrv9001_bd.tcl M_DEPS += ../common/adrv9001_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_adrv9001 LIB_DEPS += axi_adrv9001
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -10,7 +10,7 @@ adi_project_files adrv9001_zed [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"cmos_constr.xdc" \ "cmos_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" ] "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" ]
set_property PROCESSING_ORDER LATE [get_files system_constr.xdc] set_property PROCESSING_ORDER LATE [get_files system_constr.xdc]

View File

@ -12,10 +12,10 @@ M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc
M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/util_pulse_gen.v M_DEPS += ../../../library/common/util_pulse_gen.v
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_bus_mux.v M_DEPS += ../../../library/common/ad_bus_mux.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -9,7 +9,7 @@ adi_project adrv9009_zc706
adi_project_files adrv9009_zc706 [list \ adi_project_files adrv9009_zc706 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/library/common/ad_bus_mux.v" \ "$ad_hdl_dir/library/common/ad_bus_mux.v" \
"$ad_hdl_dir/library/common/util_pulse_gen.v" \ "$ad_hdl_dir/library/common/util_pulse_gen.v" \
"$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \

View File

@ -11,10 +11,10 @@ M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc
M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/util_pulse_gen.v M_DEPS += ../../../library/common/util_pulse_gen.v
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_bus_mux.v M_DEPS += ../../../library/common/ad_bus_mux.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -7,7 +7,7 @@ adi_project adrv9009_zcu102
adi_project_files adrv9009_zcu102 [list \ adi_project_files adrv9009_zcu102 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/library/common/ad_bus_mux.v" \ "$ad_hdl_dir/library/common/ad_bus_mux.v" \
"$ad_hdl_dir/library/common/util_pulse_gen.v" \ "$ad_hdl_dir/library/common/util_pulse_gen.v" \
"$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ]

View File

@ -10,8 +10,8 @@ M_DEPS += ../common/adrv9009zu11eg_constr.xdc
M_DEPS += ../common/adrv9009zu11eg_bd.tcl M_DEPS += ../common/adrv9009zu11eg_bd.tcl
M_DEPS += ../common/adrv2crr_fmc_constr.xdc M_DEPS += ../common/adrv2crr_fmc_constr.xdc
M_DEPS += ../common/adrv2crr_fmc_bd.tcl M_DEPS += ../common/adrv2crr_fmc_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac
LIB_DEPS += axi_fan_control LIB_DEPS += axi_fan_control

View File

@ -22,6 +22,6 @@ adi_project_files adrv9009zu11eg [list \
"../common/adrv9009zu11eg_spi.v" \ "../common/adrv9009zu11eg_spi.v" \
"../common/adrv9009zu11eg_constr.xdc" \ "../common/adrv9009zu11eg_constr.xdc" \
"../common/adrv2crr_fmc_constr.xdc" \ "../common/adrv2crr_fmc_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" ] "$ad_hdl_dir/library/common/ad_iobuf.v" ]
adi_project_run adrv9009zu11eg adi_project_run adrv9009zu11eg

View File

@ -11,8 +11,8 @@ M_DEPS += ../common/adrv9009zu11eg_constr.xdc
M_DEPS += ../common/adrv9009zu11eg_bd.tcl M_DEPS += ../common/adrv9009zu11eg_bd.tcl
M_DEPS += ../common/adrv2crr_fmc_constr.xdc M_DEPS += ../common/adrv2crr_fmc_constr.xdc
M_DEPS += ../common/adrv2crr_fmc_bd.tcl M_DEPS += ../common/adrv2crr_fmc_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac
LIB_DEPS += axi_fan_control LIB_DEPS += axi_fan_control

View File

@ -24,7 +24,7 @@ adi_project_files fmcomms8_adrv9009zu11eg [list \
"../common/adrv9009zu11eg_spi.v" \ "../common/adrv9009zu11eg_spi.v" \
"../common/adrv9009zu11eg_constr.xdc" \ "../common/adrv9009zu11eg_constr.xdc" \
"../common/adrv2crr_fmc_constr.xdc" \ "../common/adrv2crr_fmc_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
] ]
adi_project_run fmcomms8_adrv9009zu11eg adi_project_run fmcomms8_adrv9009zu11eg

View File

@ -10,7 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_cmos.xdc M_DEPS += ../common/adrv9361z7035_constr_cmos.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
LIB_DEPS += axi_ad9361 LIB_DEPS += axi_ad9361

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
set p_device "xc7z035ifbg676-2L" set p_device "xc7z035ifbg676-2L"
adi_project adrv9361z7035_ccbob_cmos adi_project adrv9361z7035_ccbob_cmos
adi_project_files adrv9361z7035_ccbob_cmos [list \ adi_project_files adrv9361z7035_ccbob_cmos [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"../common/adrv9361z7035_constr.xdc" \ "../common/adrv9361z7035_constr.xdc" \
"../common/adrv9361z7035_constr_cmos.xdc" \ "../common/adrv9361z7035_constr_cmos.xdc" \
"../common/ccbob_constr.xdc" \ "../common/ccbob_constr.xdc" \

View File

@ -10,7 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
LIB_DEPS += axi_ad9361 LIB_DEPS += axi_ad9361

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
set p_device "xc7z035ifbg676-2L" set p_device "xc7z035ifbg676-2L"
adi_project adrv9361z7035_ccbob_lvds adi_project adrv9361z7035_ccbob_lvds
adi_project_files adrv9361z7035_ccbob_lvds [list \ adi_project_files adrv9361z7035_ccbob_lvds [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"../common/adrv9361z7035_constr.xdc" \ "../common/adrv9361z7035_constr.xdc" \
"../common/adrv9361z7035_constr_lvds.xdc" \ "../common/adrv9361z7035_constr_lvds.xdc" \
"../common/ccbob_constr.xdc" \ "../common/ccbob_constr.xdc" \

View File

@ -10,7 +10,7 @@ M_DEPS += ../common/ccfmc_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_adl5904_rst.v M_DEPS += ../../../library/common/ad_adl5904_rst.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
set p_device "xc7z035ifbg676-2L" set p_device "xc7z035ifbg676-2L"
adi_project adrv9361z7035_ccfmc_lvds adi_project adrv9361z7035_ccfmc_lvds
adi_project_files adrv9361z7035_ccfmc_lvds [list \ adi_project_files adrv9361z7035_ccfmc_lvds [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/library/common/ad_adl5904_rst.v" \ "$ad_hdl_dir/library/common/ad_adl5904_rst.v" \
"../common/adrv9361z7035_constr.xdc" \ "../common/adrv9361z7035_constr.xdc" \
"../common/adrv9361z7035_constr_lvds.xdc" \ "../common/adrv9361z7035_constr_lvds.xdc" \

View File

@ -10,7 +10,7 @@ M_DEPS += ../common/ccpackrf_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_adl5904_rst.v M_DEPS += ../../../library/common/ad_adl5904_rst.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
set p_device "xc7z035ifbg676-2L" set p_device "xc7z035ifbg676-2L"
adi_project adrv9361z7035_ccpackrf_lvds adi_project adrv9361z7035_ccpackrf_lvds
adi_project_files adrv9361z7035_ccpackrf_lvds [list \ adi_project_files adrv9361z7035_ccpackrf_lvds [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/library/common/ad_adl5904_rst.v" \ "$ad_hdl_dir/library/common/ad_adl5904_rst.v" \
"../common/adrv9361z7035_constr.xdc" \ "../common/adrv9361z7035_constr.xdc" \
"../common/adrv9361z7035_constr_lvds.xdc" \ "../common/adrv9361z7035_constr_lvds.xdc" \

View File

@ -10,7 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl
M_DEPS += ../common/adrv9364z7020_constr_cmos.xdc M_DEPS += ../common/adrv9364z7020_constr_cmos.xdc
M_DEPS += ../common/adrv9364z7020_constr.xdc M_DEPS += ../common/adrv9364z7020_constr.xdc
M_DEPS += ../common/adrv9364z7020_bd.tcl M_DEPS += ../common/adrv9364z7020_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
LIB_DEPS += axi_ad9361 LIB_DEPS += axi_ad9361

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
set p_device "xc7z020clg400-1" set p_device "xc7z020clg400-1"
adi_project adrv9364z7020_ccbob_cmos adi_project adrv9364z7020_ccbob_cmos
adi_project_files adrv9364z7020_ccbob_cmos [list \ adi_project_files adrv9364z7020_ccbob_cmos [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"../common/adrv9364z7020_constr.xdc" \ "../common/adrv9364z7020_constr.xdc" \
"../common/adrv9364z7020_constr_cmos.xdc" \ "../common/adrv9364z7020_constr_cmos.xdc" \
"../common/ccbob_constr.xdc" \ "../common/ccbob_constr.xdc" \

View File

@ -10,7 +10,7 @@ M_DEPS += ../common/ccbob_bd.tcl
M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc
M_DEPS += ../common/adrv9364z7020_constr.xdc M_DEPS += ../common/adrv9364z7020_constr.xdc
M_DEPS += ../common/adrv9364z7020_bd.tcl M_DEPS += ../common/adrv9364z7020_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
LIB_DEPS += axi_ad9361 LIB_DEPS += axi_ad9361

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
set p_device "xc7z020clg400-1" set p_device "xc7z020clg400-1"
adi_project adrv9364z7020_ccbob_lvds adi_project adrv9364z7020_ccbob_lvds
adi_project_files adrv9364z7020_ccbob_lvds [list \ adi_project_files adrv9364z7020_ccbob_lvds [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"../common/adrv9364z7020_constr.xdc" \ "../common/adrv9364z7020_constr.xdc" \
"../common/adrv9364z7020_constr_lvds.xdc" \ "../common/adrv9364z7020_constr_lvds.xdc" \
"../common/ccbob_constr.xdc" \ "../common/ccbob_constr.xdc" \

View File

@ -10,7 +10,7 @@ M_DEPS += ../common/ccpackrf_bd.tcl
M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc
M_DEPS += ../common/adrv9364z7020_constr.xdc M_DEPS += ../common/adrv9364z7020_constr.xdc
M_DEPS += ../common/adrv9364z7020_bd.tcl M_DEPS += ../common/adrv9364z7020_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_adl5904_rst.v M_DEPS += ../../../library/common/ad_adl5904_rst.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl
set p_device "xc7z020clg400-1" set p_device "xc7z020clg400-1"
adi_project adrv9364z7020_ccpackrf_lvds adi_project adrv9364z7020_ccpackrf_lvds
adi_project_files adrv9364z7020_ccpackrf_lvds [list \ adi_project_files adrv9364z7020_ccpackrf_lvds [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/library/common/ad_adl5904_rst.v" \ "$ad_hdl_dir/library/common/ad_adl5904_rst.v" \
"../common/adrv9364z7020_constr.xdc" \ "../common/adrv9364z7020_constr.xdc" \
"../common/adrv9364z7020_constr_lvds.xdc" \ "../common/adrv9364z7020_constr_lvds.xdc" \

View File

@ -13,10 +13,10 @@ M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl
M_DEPS += ../../common/kcu105/kcu105_system_lutram_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_lutram_constr.xdc
M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc
M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/util_pulse_gen.v M_DEPS += ../../../library/common/util_pulse_gen.v
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_bus_mux.v M_DEPS += ../../../library/common/ad_bus_mux.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -7,7 +7,7 @@ adi_project adrv9371x_kcu105
adi_project_files adrv9371x_kcu105 [list \ adi_project_files adrv9371x_kcu105 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/library/common/ad_bus_mux.v" \ "$ad_hdl_dir/library/common/ad_bus_mux.v" \
"$ad_hdl_dir/library/common/util_pulse_gen.v" \ "$ad_hdl_dir/library/common/util_pulse_gen.v" \
"$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" \ "$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" \

View File

@ -12,10 +12,10 @@ M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc
M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/util_pulse_gen.v M_DEPS += ../../../library/common/util_pulse_gen.v
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_bus_mux.v M_DEPS += ../../../library/common/ad_bus_mux.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -9,7 +9,7 @@ adi_project adrv9371x_zc706
adi_project_files adrv9371x_zc706 [list \ adi_project_files adrv9371x_zc706 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/library/common/ad_bus_mux.v" \ "$ad_hdl_dir/library/common/ad_bus_mux.v" \
"$ad_hdl_dir/library/common/util_pulse_gen.v" \ "$ad_hdl_dir/library/common/util_pulse_gen.v" \
"$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \

View File

@ -11,10 +11,10 @@ M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc M_DEPS += ../../common/xilinx/adi_fir_filter_constr.xdc
M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl M_DEPS += ../../common/xilinx/adi_fir_filter_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/util_cdc/sync_bits.v M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/util_pulse_gen.v M_DEPS += ../../../library/common/util_pulse_gen.v
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_bus_mux.v M_DEPS += ../../../library/common/ad_bus_mux.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen

View File

@ -7,7 +7,7 @@ adi_project adrv9371x_zcu102
adi_project_files adrv9371x_zcu102 [list \ adi_project_files adrv9371x_zcu102 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \
"$ad_hdl_dir/library/common/ad_bus_mux.v" \ "$ad_hdl_dir/library/common/ad_bus_mux.v" \
"$ad_hdl_dir/library/common/util_pulse_gen.v" \ "$ad_hdl_dir/library/common/util_pulse_gen.v" \
"$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ]

View File

@ -7,7 +7,7 @@ PROJECT_NAME := adv7511_zc702
M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_constr.xdc
M_DEPS += ../../common/zc702/zc702_system_bd.tcl M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -8,7 +8,7 @@ adi_project_files adv7511_zc702 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"$ad_hdl_dir/projects/common/zc702/zc702_system_constr.xdc" \ "$ad_hdl_dir/projects/common/zc702/zc702_system_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" ] "$ad_hdl_dir/library/common/ad_iobuf.v" ]
adi_project_run adv7511_zc702 adi_project_run adv7511_zc702

View File

@ -7,7 +7,7 @@ PROJECT_NAME := adv7511_zc706
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -7,7 +7,7 @@ adi_project adv7511_zc706
adi_project_files adv7511_zc706 [list \ adi_project_files adv7511_zc706 [list \
"system_top.v" \ "system_top.v" \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] "$ad_hdl_dir/library/common/ad_iobuf.v"]
adi_project_run adv7511_zc706 adi_project_run adv7511_zc706

View File

@ -7,7 +7,7 @@ PROJECT_NAME := adv7511_zed
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -9,7 +9,7 @@ adi_project adv7511_zed
adi_project_files adv7511_zed [list \ adi_project_files adv7511_zed [list \
"system_top.v" \ "system_top.v" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] "$ad_hdl_dir/library/common/ad_iobuf.v"]
adi_project_run adv7511_zed adi_project_run adv7511_zed

View File

@ -8,7 +8,7 @@ PROJECT_NAME := cn0363_zed
M_DEPS += ../common/cn0363_bd.tcl M_DEPS += ../common/cn0363_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -7,6 +7,6 @@ adi_project_files cn0363_zed [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc" \ "system_constr.xdc" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] "$ad_hdl_dir/library/common/ad_iobuf.v"]
adi_project_run cn0363_zed adi_project_run cn0363_zed

View File

@ -8,7 +8,7 @@ PROJECT_NAME := cn0506_mii_zc706
M_DEPS += ../common/cn0506_bd.tcl M_DEPS += ../common/cn0506_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -8,7 +8,7 @@ adi_project_files cn0506_mii_zc706 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] "$ad_hdl_dir/library/common/ad_iobuf.v"]
adi_project_run cn0506_mii_zc706 adi_project_run cn0506_mii_zc706

View File

@ -8,7 +8,7 @@ PROJECT_NAME := cn0506_mii_zed
M_DEPS += ../common/cn0506_bd.tcl M_DEPS += ../common/cn0506_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -8,7 +8,7 @@ adi_project_files cn0506_mii_zed [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] "$ad_hdl_dir/library/common/ad_iobuf.v"]
adi_project_run cn0506_mii_zed adi_project_run cn0506_mii_zed

View File

@ -8,7 +8,7 @@ PROJECT_NAME := cn0506_rgmii_zc706
M_DEPS += ../common/cn0506_bd.tcl M_DEPS += ../common/cn0506_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -8,7 +8,7 @@ adi_project_files cn0506_rgmii_zc706 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] "$ad_hdl_dir/library/common/ad_iobuf.v"]
adi_project_run cn0506_rgmii_zc706 adi_project_run cn0506_rgmii_zc706

View File

@ -8,7 +8,7 @@ PROJECT_NAME := cn0506_rgmii_zed
M_DEPS += ../common/cn0506_bd.tcl M_DEPS += ../common/cn0506_bd.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -8,7 +8,7 @@ adi_project_files cn0506_rgmii_zed [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] "$ad_hdl_dir/library/common/ad_iobuf.v"]
adi_project_run cn0506_rgmii_zed adi_project_run cn0506_rgmii_zed

View File

@ -7,7 +7,7 @@ PROJECT_NAME := cn0506_rmii_zc706
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

View File

@ -8,7 +8,7 @@ adi_project_files cn0506_rmii_zc706 [list \
"system_top.v" \ "system_top.v" \
"system_constr.xdc"\ "system_constr.xdc"\
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] "$ad_hdl_dir/library/common/ad_iobuf.v"]
adi_project_run cn0506_rmii_zc706 adi_project_run cn0506_rmii_zc706

View File

@ -7,7 +7,7 @@ PROJECT_NAME := cn0506_rmii_zed
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
LIB_DEPS += axi_clkgen LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac LIB_DEPS += axi_dmac

Some files were not shown because too many files have changed in this diff Show More