diff --git a/projects/ad6676evb/vc707/Makefile b/projects/ad6676evb/vc707/Makefile index b0c0c6cd3..64e013641 100644 --- a/projects/ad6676evb/vc707/Makefile +++ b/projects/ad6676evb/vc707/Makefile @@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../common/ad6676evb_bd.tcl +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr diff --git a/projects/ad9467_fmc/kc705/Makefile b/projects/ad9467_fmc/kc705/Makefile index 2a24a381a..da3b5da3a 100644 --- a/projects/ad9467_fmc/kc705/Makefile +++ b/projects/ad9467_fmc/kc705/Makefile @@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../common/ad9467_bd.tcl +M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr diff --git a/projects/adv7511/ac701/Makefile b/projects/adv7511/ac701/Makefile index bed843785..5c073cc98 100644 --- a/projects/adv7511/ac701/Makefile +++ b/projects/adv7511/ac701/Makefile @@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl +M_DEPS += ../../common/ac701/ac701_system_mig.prj M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr diff --git a/projects/adv7511/kc705/Makefile b/projects/adv7511/kc705/Makefile index 2e50c6882..c759c8c08 100644 --- a/projects/adv7511/kc705/Makefile +++ b/projects/adv7511/kc705/Makefile @@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl +M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr diff --git a/projects/adv7511/vc707/Makefile b/projects/adv7511/vc707/Makefile index c9a6e791b..84a782856 100644 --- a/projects/adv7511/vc707/Makefile +++ b/projects/adv7511/vc707/Makefile @@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr diff --git a/projects/daq2/kc705/Makefile b/projects/daq2/kc705/Makefile index fc02cd4ab..24edbec30 100644 --- a/projects/daq2/kc705/Makefile +++ b/projects/daq2/kc705/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/daq2_bd.tcl +M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr diff --git a/projects/daq2/vc707/Makefile b/projects/daq2/vc707/Makefile index 2c8091a22..7ec20d34f 100644 --- a/projects/daq2/vc707/Makefile +++ b/projects/daq2/vc707/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/daq2_bd.tcl +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr diff --git a/projects/daq2/zc706/Makefile b/projects/daq2/zc706/Makefile index b1476331b..094e26ac6 100644 --- a/projects/daq2/zc706/Makefile +++ b/projects/daq2/zc706/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../common/daq2_bd.tcl +M_DEPS += $ad_hdl_dir/projects/common/zc706/zc706_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr diff --git a/projects/daq3/zc706/Makefile b/projects/daq3/zc706/Makefile index d61718f3b..5942865db 100644 --- a/projects/daq3/zc706/Makefile +++ b/projects/daq3/zc706/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../common/daq3_bd.tcl +M_DEPS += $ad_hdl_dir/projects/common/zc706/zc706_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr diff --git a/projects/fmcadc2/vc707/Makefile b/projects/fmcadc2/vc707/Makefile index 10bd7b6dc..19fa4c40e 100644 --- a/projects/fmcadc2/vc707/Makefile +++ b/projects/fmcadc2/vc707/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/fmcadc2_bd.tcl +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr diff --git a/projects/fmcadc2/zc706/Makefile b/projects/fmcadc2/zc706/Makefile index 6522dc5b7..6bcb5ebe8 100644 --- a/projects/fmcadc2/zc706/Makefile +++ b/projects/fmcadc2/zc706/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../common/fmcadc2_bd.tcl +M_DEPS += $ad_hdl_dir/projects/common/zc706/zc706_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr diff --git a/projects/fmcadc4/zc706/Makefile b/projects/fmcadc4/zc706/Makefile index 172bef372..6e92bf445 100644 --- a/projects/fmcadc4/zc706/Makefile +++ b/projects/fmcadc4/zc706/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../common/fmcadc4_bd.tcl +M_DEPS += $ad_hdl_dir/projects/common/zc706/zc706_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr diff --git a/projects/fmcadc5/vc707/Makefile b/projects/fmcadc5/vc707/Makefile index df3eb900c..87797e006 100644 --- a/projects/fmcadc5/vc707/Makefile +++ b/projects/fmcadc5/vc707/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/fmcadc5_bd.tcl +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr diff --git a/projects/fmcjesdadc1/kc705/Makefile b/projects/fmcjesdadc1/kc705/Makefile index 9448cd1e1..b01c8ec70 100644 --- a/projects/fmcjesdadc1/kc705/Makefile +++ b/projects/fmcjesdadc1/kc705/Makefile @@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../common/fmcjesdadc1_bd.tcl +M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcjesdadc1/vc707/Makefile b/projects/fmcjesdadc1/vc707/Makefile index 465f73f5f..f82ff2f27 100644 --- a/projects/fmcjesdadc1/vc707/Makefile +++ b/projects/fmcjesdadc1/vc707/Makefile @@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../common/fmcjesdadc1_bd.tcl +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms1/ac701/Makefile b/projects/fmcomms1/ac701/Makefile index 161f53228..a5306c238 100644 --- a/projects/fmcomms1/ac701/Makefile +++ b/projects/fmcomms1/ac701/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms1_bd.tcl +M_DEPS += ../../common/ac701/ac701_system_mig.prj M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms1/kc705/Makefile b/projects/fmcomms1/kc705/Makefile index 6c754cedb..aba50b521 100644 --- a/projects/fmcomms1/kc705/Makefile +++ b/projects/fmcomms1/kc705/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms1_bd.tcl +M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms1/vc707/Makefile b/projects/fmcomms1/vc707/Makefile index 850220f5a..44ec1222a 100644 --- a/projects/fmcomms1/vc707/Makefile +++ b/projects/fmcomms1/vc707/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms1_bd.tcl +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms2/ac701/Makefile b/projects/fmcomms2/ac701/Makefile index 0fa78954a..ec4fcc179 100644 --- a/projects/fmcomms2/ac701/Makefile +++ b/projects/fmcomms2/ac701/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../common/ac701/ac701_system_mig.prj M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr diff --git a/projects/fmcomms2/kc705/Makefile b/projects/fmcomms2/kc705/Makefile index b405c0146..76f405951 100644 --- a/projects/fmcomms2/kc705/Makefile +++ b/projects/fmcomms2/kc705/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr diff --git a/projects/fmcomms2/vc707/Makefile b/projects/fmcomms2/vc707/Makefile index 60659331d..312387c60 100644 --- a/projects/fmcomms2/vc707/Makefile +++ b/projects/fmcomms2/vc707/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr diff --git a/projects/fmcomms7/zc706/Makefile b/projects/fmcomms7/zc706/Makefile index bab366e77..bed7b2931 100644 --- a/projects/fmcomms7/zc706/Makefile +++ b/projects/fmcomms7/zc706/Makefile @@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../common/fmcomms7_bd.tcl +M_DEPS += $ad_hdl_dir/projects/common/zc706/zc706_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr diff --git a/projects/usdrx1/a5gt/Makefile b/projects/usdrx1/a5gt/Makefile index 9d3e9e40d..560bbfdd6 100644 --- a/projects/usdrx1/a5gt/Makefile +++ b/projects/usdrx1/a5gt/Makefile @@ -42,6 +42,23 @@ M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/response_generator.v M_DEPS += ../../../library/axi_dmac/axi_dmac.v +M_DEPS += ../../../library/axi_ad9671/axi_ad9671_hw.tcl +M_DEPS += ../../../library/common/altera/ad_rst.v +M_DEPS += ../../../library/common/ad_pnmon.v +M_DEPS += ../../../library/common/ad_datafmt.v +M_DEPS += ../../../library/common/up_axi.v +M_DEPS += ../../../library/common/up_xfer_cntrl.v +M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/common/up_clock_mon.v +M_DEPS += ../../../library/common/up_drp_cntrl.v +M_DEPS += ../../../library/common/up_delay_cntrl.v +M_DEPS += ../../../library/common/up_adc_common.v +M_DEPS += ../../../library/common/up_adc_channel.v +M_DEPS += ../../../library/common/ad_mem.v +M_DEPS += ../../../library/axi_ad9671/axi_ad9671_pnmon.v +M_DEPS += ../../../library/axi_ad9671/axi_ad9671_if.v +M_DEPS += ../../../library/axi_ad9671/axi_ad9671_channel.v +M_DEPS += ../../../library/axi_ad9671/axi_ad9671.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl M_DEPS += ../../../library/common/altera/ad_rst.v M_DEPS += ../../../library/common/ad_pnmon.v