Makefiles: Added mig project file as a dependency for Microblaze based projects. Also updated usdrx1 a5gt Makefile

main
Adrian Costina 2015-05-08 15:31:40 +03:00
parent 573acc8af6
commit 91279253ef
23 changed files with 39 additions and 0 deletions

View File

@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../common/ad6676evb_bd.tcl M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr

View File

@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../common/ad9467_bd.tcl M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr

View File

@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl M_DEPS += system_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../common/ac701/ac701_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_mig.prj
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr

View File

@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr

View File

@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/daq2_bd.tcl M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/daq2_bd.tcl M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/daq2_bd.tcl M_DEPS += ../common/daq2_bd.tcl
M_DEPS += $ad_hdl_dir/projects/common/zc706/zc706_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/daq3_bd.tcl M_DEPS += ../common/daq3_bd.tcl
M_DEPS += $ad_hdl_dir/projects/common/zc706/zc706_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/fmcadc2_bd.tcl M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/fmcadc2_bd.tcl M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += $ad_hdl_dir/projects/common/zc706/zc706_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/fmcadc4_bd.tcl M_DEPS += ../common/fmcadc4_bd.tcl
M_DEPS += $ad_hdl_dir/projects/common/zc706/zc706_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/fmcadc5_bd.tcl M_DEPS += ../common/fmcadc5_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr

View File

@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += system_bd.tcl M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../common/fmcjesdadc1_bd.tcl M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr

View File

@ -12,6 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../common/fmcjesdadc1_bd.tcl M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../common/ac701/ac701_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_mig.prj
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../common/ac701/ac701_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_mig.prj
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr

View File

@ -13,6 +13,7 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/fmcomms7_bd.tcl M_DEPS += ../common/fmcomms7_bd.tcl
M_DEPS += $ad_hdl_dir/projects/common/zc706/zc706_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr

View File

@ -42,6 +42,23 @@ M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v
M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/splitter.v
M_DEPS += ../../../library/axi_dmac/response_generator.v M_DEPS += ../../../library/axi_dmac/response_generator.v
M_DEPS += ../../../library/axi_dmac/axi_dmac.v M_DEPS += ../../../library/axi_dmac/axi_dmac.v
M_DEPS += ../../../library/axi_ad9671/axi_ad9671_hw.tcl
M_DEPS += ../../../library/common/altera/ad_rst.v
M_DEPS += ../../../library/common/ad_pnmon.v
M_DEPS += ../../../library/common/ad_datafmt.v
M_DEPS += ../../../library/common/up_axi.v
M_DEPS += ../../../library/common/up_xfer_cntrl.v
M_DEPS += ../../../library/common/up_xfer_status.v
M_DEPS += ../../../library/common/up_clock_mon.v
M_DEPS += ../../../library/common/up_drp_cntrl.v
M_DEPS += ../../../library/common/up_delay_cntrl.v
M_DEPS += ../../../library/common/up_adc_common.v
M_DEPS += ../../../library/common/up_adc_channel.v
M_DEPS += ../../../library/common/ad_mem.v
M_DEPS += ../../../library/axi_ad9671/axi_ad9671_pnmon.v
M_DEPS += ../../../library/axi_ad9671/axi_ad9671_if.v
M_DEPS += ../../../library/axi_ad9671/axi_ad9671_channel.v
M_DEPS += ../../../library/axi_ad9671/axi_ad9671.v
M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl
M_DEPS += ../../../library/common/altera/ad_rst.v M_DEPS += ../../../library/common/altera/ad_rst.v
M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_pnmon.v