diff --git a/library/axi_spdif_tx/axi_spdif_tx_ip.tcl b/library/axi_spdif_tx/axi_spdif_tx_ip.tcl index 372106801..9b119a232 100644 --- a/library/axi_spdif_tx/axi_spdif_tx_ip.tcl +++ b/library/axi_spdif_tx/axi_spdif_tx_ip.tcl @@ -15,12 +15,7 @@ adi_ip_files axi_spdif_tx [list \ "axi_spdif_tx_constr.xdc" ] adi_ip_properties_lite axi_spdif_tx - -set ip_constr_files "axi_spdif_tx_constr.xdc" -set proj_filegroup [ipx::get_file_group xilinx_vhdlsynthesis [ipx::current_core]] -ipx::add_file $ip_constr_files $proj_filegroup -set_property type {{xdc}} [ipx::get_file $ip_constr_files $proj_filegroup] -set_property library_name {} [ipx::get_file $ip_constr_files $proj_filegroup] +adi_ip_constraints axi_spdif_tx axi_spdif_tx_constr.xdc adi_add_bus "DMA_ACK" "slave" \ "xilinx.com:interface:axis_rtl:1.0" \