axi_dmac: Make all clocks asynchronous

main
Adrian Costina 2015-04-11 12:04:55 +03:00
parent 7d22399860
commit 95e41e50a6
1 changed files with 7 additions and 5 deletions

View File

@ -1,7 +1,9 @@
set_false_path -from [get_cells *in_count_gray* -hierarchical -filter {NAME =~ *i_request_arb* && PRIMITIVE_SUBGROUP == flop}] \
-to [get_cells *out_count_gray_m1* -hierarchical -filter {NAME =~ *i_request_arb* && PRIMITIVE_SUBGROUP == flop}]
set_false_path -to [get_cells *out_m1* -hierarchical -filter {NAME =~ *i_request_arb* && PRIMITIVE_SUBGROUP == flop}]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports m_dest_axi_aclk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports m_src_axi_aclk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axis_aclk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports m_axis_aclk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports fifo_wr_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports fifo_rd_clk]]