diff --git a/library/common/altera/ad_cmos_in.v b/library/common/altera/ad_cmos_in.v index 85b791926..b424cc440 100644 --- a/library/common/altera/ad_cmos_in.v +++ b/library/common/altera/ad_cmos_in.v @@ -93,10 +93,29 @@ module ad_cmos_in ( // instantiations + generate + if (DEVICE_TYPE == 0) begin alt_ddio_in i_rx_data_iddr ( .ck (rx_clk), .pad_in (rx_data_in), .dout ({rx_data_p, rx_data_n})); + end + endgenerate + + generate + if (DEVICE_TYPE == 1) begin + altddio_in #(.width (1), .lpm_hint("UNUSED")) i_rx_data_iddr ( + .inclock (rx_clk), + .datain (rx_data_in), + .dataout_h (rx_data_p), + .dataout_l (rx_data_n), + .inclocken (1'b1), + .aclr (1'b0), + .aset (1'b0), + .sclr (1'b0), + .sset (1'b0)); + end + endgenerate endmodule diff --git a/library/common/altera/ad_cmos_out.v b/library/common/altera/ad_cmos_out.v index 46896e197..c54d53d5d 100644 --- a/library/common/altera/ad_cmos_out.v +++ b/library/common/altera/ad_cmos_out.v @@ -94,10 +94,31 @@ module ad_cmos_out ( // instantiations + generate + if (DEVICE_TYPE == 0) begin alt_ddio_out i_tx_data_oddr ( .ck (tx_clk), .din ({tx_data_p, tx_data_n}), .pad_out (tx_data_out)); + end + endgenerate + + generate + if (DEVICE_TYPE == 1) begin + altddio_out #(.width (1), .lpm_hint ("UNUSED")) i_tx_data_oddr ( + .outclock (tx_clk), + .datain_h (tx_data_p), + .datain_l (tx_data_n), + .dataout (tx_data_out), + .outclocken (1'b1), + .oe_out (), + .oe (1'b1), + .aclr (1'b0), + .aset (1'b0), + .sclr (1'b0), + .sset (1'b0)); + end + endgenerate endmodule diff --git a/library/common/altera/ad_lvds_in.v b/library/common/altera/ad_lvds_in.v index 7422234bd..08dd863f1 100644 --- a/library/common/altera/ad_lvds_in.v +++ b/library/common/altera/ad_lvds_in.v @@ -95,10 +95,29 @@ module ad_lvds_in ( // instantiations + generate + if (DEVICE_TYPE == 0) begin alt_ddio_in i_rx_data_iddr ( .ck (rx_clk), .pad_in (rx_data_in_p), .dout ({rx_data_p, rx_data_n})); + end + endgenerate + + generate + if (DEVICE_TYPE == 1) begin + altddio_in #(.width (1), .lpm_hint("UNUSED")) i_rx_data_iddr ( + .inclock (rx_clk), + .datain (rx_data_in_p), + .dataout_h (rx_data_p), + .dataout_l (rx_data_n), + .inclocken (1'b1), + .aclr (1'b0), + .aset (1'b0), + .sclr (1'b0), + .sset (1'b0)); + end + endgenerate endmodule diff --git a/library/common/altera/ad_lvds_out.v b/library/common/altera/ad_lvds_out.v index dc673ac80..9f8bf8cec 100644 --- a/library/common/altera/ad_lvds_out.v +++ b/library/common/altera/ad_lvds_out.v @@ -96,10 +96,31 @@ module ad_lvds_out ( // instantiations + generate + if (DEVICE_TYPE == 0) begin alt_ddio_out i_tx_data_oddr ( .ck (tx_clk), .din ({tx_data_p, tx_data_n}), .pad_out (tx_data_out_p)); + end + endgenerate + + generate + if (DEVICE_TYPE == 1) begin + altddio_out #(.width (1), .lpm_hint ("UNUSED")) i_tx_data_oddr ( + .outclock (tx_clk), + .datain_h (tx_data_p), + .datain_l (tx_data_n), + .dataout (tx_data_out_p), + .outclocken (1'b1), + .oe_out (), + .oe (1'b1), + .aclr (1'b0), + .aset (1'b0), + .sclr (1'b0), + .sset (1'b0)); + end + endgenerate endmodule