From 9d2b8809df00a34892e651a1d47a400cc4269b2b Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Fri, 23 Oct 2015 10:44:27 +0300 Subject: [PATCH] Makefiles: Updated Makefiles --- library/axi_ad9122/Makefile | 1 + library/axi_ad9144/Makefile | 2 +- library/axi_ad9152/Makefile | 2 +- library/axi_ad9250/Makefile | 1 + library/axi_ad9265/Makefile | 1 + library/axi_ad9643/Makefile | 1 + library/axi_ad9739a/Makefile | 1 + library/axi_hdmi_rx/Makefile | 1 + library/interfaces/Makefile | 41 ++++++++++++++++ library/util_pmod_adc/Makefile | 2 +- projects/Makefile | 15 +++--- projects/ad6676evb/vc707/Makefile | 14 +++--- projects/ad6676evb/zc706/Makefile | 14 +++--- projects/ad9265_fmc/zc706/Makefile | 15 +++--- projects/ad9434_fmc/zc706/Makefile | 15 +++--- projects/ad9467_fmc/kc705/Makefile | 15 +++--- projects/ad9467_fmc/zed/Makefile | 15 +++--- projects/ad9739a_fmc/zc706/Makefile | 14 +++--- projects/adv7511/ac701/Makefile | 16 +++--- projects/adv7511/kc705/Makefile | 14 +++--- projects/adv7511/kcu105/Makefile | 14 +++--- projects/adv7511/mitx045/Makefile | 14 +++--- projects/adv7511/vc707/Makefile | 18 +++---- projects/adv7511/zc702/Makefile | 12 ++--- projects/adv7511/zc706/Makefile | 12 ++--- projects/adv7511/zed/Makefile | 12 ++--- projects/arradio/c5soc/Makefile | 73 +++++++++++++++++++++++++-- projects/cftl_cip/zed/Makefile | 20 ++++---- projects/cftl_std/zed/Makefile | 14 +++--- projects/cn0363/zed/Makefile | 12 ++--- projects/{pzslb => common}/Makefile | 6 +-- projects/common/a5gte/Makefile | 64 ++++++++++++++++++++++++ projects/daq1/zc706/Makefile | 16 +++--- projects/daq2/a10gx/Makefile | 76 +++++++++++++++++++++++++++-- projects/daq2/kc705/Makefile | 18 +++---- projects/daq2/kcu105/Makefile | 18 +++---- projects/daq2/vc707/Makefile | 18 +++---- projects/daq2/zc706/Makefile | 22 ++++----- projects/daq3/zc706/Makefile | 25 +++++----- projects/fmcadc2/vc707/Makefile | 18 +++---- projects/fmcadc2/zc706/Makefile | 20 ++++---- projects/fmcadc4/zc706/Makefile | 20 ++++---- projects/fmcadc5/vc707/Makefile | 19 ++++---- projects/fmcjesdadc1/Makefile | 3 ++ projects/fmcjesdadc1/a5gt/Makefile | 62 +++++++++++++++++++++-- projects/fmcjesdadc1/a5soc/Makefile | 62 +++++++++++++++++++++-- projects/fmcjesdadc1/kc705/Makefile | 20 ++++---- projects/fmcjesdadc1/vc707/Makefile | 16 +++--- projects/fmcjesdadc1/zc706/Makefile | 16 +++--- projects/fmcomms1/ac701/Makefile | 14 +++--- projects/fmcomms1/kc705/Makefile | 14 +++--- projects/fmcomms1/vc707/Makefile | 14 +++--- projects/fmcomms1/zc702/Makefile | 14 +++--- projects/fmcomms1/zc706/Makefile | 14 +++--- projects/fmcomms1/zed/Makefile | 14 +++--- projects/fmcomms2/Makefile | 3 -- projects/fmcomms2/ac701/Makefile | 16 +++--- projects/fmcomms2/kc705/Makefile | 16 +++--- projects/fmcomms2/mitx045/Makefile | 16 +++--- projects/fmcomms2/vc707/Makefile | 14 +++--- projects/fmcomms2/zc702/Makefile | 14 +++--- projects/fmcomms2/zc706/Makefile | 22 ++++----- projects/fmcomms2/zed/Makefile | 14 +++--- projects/fmcomms5/zc702/Makefile | 14 +++--- projects/fmcomms5/zc706/Makefile | 14 +++--- projects/fmcomms6/zc706/Makefile | 17 +++---- projects/fmcomms7/zc706/Makefile | 22 ++++----- projects/imageon/zc706/Makefile | 15 +++--- projects/imageon/zed/Makefile | 15 +++--- projects/motcon2_fmc/zed/Makefile | 14 +++--- projects/pzsdr/ccbrk/Makefile | 24 ++++----- projects/pzsdr/ccfmc/Makefile | 24 ++++----- projects/pzsdr/ccpci/Makefile | 24 ++++----- projects/usb_fx3/Makefile | 21 ++++++++ projects/usb_fx3/zc706/Makefile | 63 ++++++++++++++++++++++++ projects/usdrx1/a5gt/Makefile | 7 +-- projects/usdrx1/zc706/Makefile | 18 +++---- 77 files changed, 931 insertions(+), 480 deletions(-) create mode 100644 library/interfaces/Makefile rename projects/{pzslb => common}/Makefile (90%) create mode 100644 projects/common/a5gte/Makefile create mode 100644 projects/usb_fx3/Makefile create mode 100644 projects/usb_fx3/zc706/Makefile diff --git a/library/axi_ad9122/Makefile b/library/axi_ad9122/Makefile index c267c5b74..c1ff2e4dd 100644 --- a/library/axi_ad9122/Makefile +++ b/library/axi_ad9122/Makefile @@ -22,6 +22,7 @@ M_DEPS += ../common/up_xfer_status.v M_DEPS += ../common/up_clock_mon.v M_DEPS += ../common/up_dac_common.v M_DEPS += ../common/up_dac_channel.v +M_DEPS += ../common/ad_axi_ip_constr.xdc M_DEPS += axi_ad9122_channel.v M_DEPS += axi_ad9122_core.v M_DEPS += axi_ad9122_if.v diff --git a/library/axi_ad9144/Makefile b/library/axi_ad9144/Makefile index 49cdb0ac3..795b50715 100644 --- a/library/axi_ad9144/Makefile +++ b/library/axi_ad9144/Makefile @@ -8,6 +8,7 @@ M_DEPS := axi_ad9144_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl +M_DEPS += ../common/ad_axi_ip_constr.xdc M_DEPS += ../common/ad_mul.v M_DEPS += ../common/ad_dds_sine.v M_DEPS += ../common/ad_dds_1.v @@ -23,7 +24,6 @@ M_DEPS += axi_ad9144_channel.v M_DEPS += axi_ad9144_core.v M_DEPS += axi_ad9144_if.v M_DEPS += axi_ad9144.v -M_DEPS += ../common/ad_axi_ip_constr.xdc M_VIVADO := vivado -mode batch -source diff --git a/library/axi_ad9152/Makefile b/library/axi_ad9152/Makefile index bccc313e6..55aaa7c77 100644 --- a/library/axi_ad9152/Makefile +++ b/library/axi_ad9152/Makefile @@ -8,6 +8,7 @@ M_DEPS := axi_ad9152_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl +M_DEPS += ../common/ad_axi_ip_constr.xdc M_DEPS += ../common/ad_mul.v M_DEPS += ../common/ad_dds_sine.v M_DEPS += ../common/ad_dds_1.v @@ -22,7 +23,6 @@ M_DEPS += ../common/up_dac_channel.v M_DEPS += axi_ad9152_channel.v M_DEPS += axi_ad9152_core.v M_DEPS += axi_ad9152_if.v -M_DEPS += axi_ad9152_constr.xdc M_DEPS += axi_ad9152.v M_VIVADO := vivado -mode batch -source diff --git a/library/axi_ad9250/Makefile b/library/axi_ad9250/Makefile index 6ad40b9f7..d99cf1872 100644 --- a/library/axi_ad9250/Makefile +++ b/library/axi_ad9250/Makefile @@ -17,6 +17,7 @@ M_DEPS += ../common/up_xfer_status.v M_DEPS += ../common/up_clock_mon.v M_DEPS += ../common/up_adc_common.v M_DEPS += ../common/up_adc_channel.v +M_DEPS += ../common/ad_axi_ip_constr.xdc M_DEPS += axi_ad9250_pnmon.v M_DEPS += axi_ad9250_channel.v M_DEPS += axi_ad9250_if.v diff --git a/library/axi_ad9265/Makefile b/library/axi_ad9265/Makefile index f2ee8e67a..ae440127f 100644 --- a/library/axi_ad9265/Makefile +++ b/library/axi_ad9265/Makefile @@ -21,6 +21,7 @@ M_DEPS += ../common/up_delay_cntrl.v M_DEPS += ../common/up_adc_common.v M_DEPS += ../common/up_adc_channel.v M_DEPS += ../common/up_axi.v +M_DEPS += ../common/ad_axi_ip_constr.xdc M_DEPS += axi_ad9265_pnmon.v M_DEPS += axi_ad9265_if.v M_DEPS += axi_ad9265_channel.v diff --git a/library/axi_ad9643/Makefile b/library/axi_ad9643/Makefile index 91609ed75..f556924d4 100644 --- a/library/axi_ad9643/Makefile +++ b/library/axi_ad9643/Makefile @@ -23,6 +23,7 @@ M_DEPS += ../common/up_clock_mon.v M_DEPS += ../common/up_delay_cntrl.v M_DEPS += ../common/up_adc_common.v M_DEPS += ../common/up_adc_channel.v +M_DEPS += ../common/ad_axi_ip_constr.xdc M_DEPS += axi_ad9643_pnmon.v M_DEPS += axi_ad9643_channel.v M_DEPS += axi_ad9643_if.v diff --git a/library/axi_ad9739a/Makefile b/library/axi_ad9739a/Makefile index d8186c74e..0068469d5 100644 --- a/library/axi_ad9739a/Makefile +++ b/library/axi_ad9739a/Makefile @@ -20,6 +20,7 @@ M_DEPS += ../common/up_xfer_status.v M_DEPS += ../common/up_clock_mon.v M_DEPS += ../common/up_dac_common.v M_DEPS += ../common/up_dac_channel.v +M_DEPS += ../common/ad_axi_ip_constr.xdc M_DEPS += axi_ad9739a_channel.v M_DEPS += axi_ad9739a_core.v M_DEPS += axi_ad9739a_if.v diff --git a/library/axi_hdmi_rx/Makefile b/library/axi_hdmi_rx/Makefile index 3c3ea8bd3..d08123f0f 100644 --- a/library/axi_hdmi_rx/Makefile +++ b/library/axi_hdmi_rx/Makefile @@ -8,6 +8,7 @@ M_DEPS := axi_hdmi_rx_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl +M_DEPS += ../common/ad_axi_ip_constr.xdc M_DEPS += ../common/ad_rst.v M_DEPS += ../common/ad_csc_1.v M_DEPS += ../common/ad_csc_1_mul.v diff --git a/library/interfaces/Makefile b/library/interfaces/Makefile new file mode 100644 index 000000000..cb50c9c27 --- /dev/null +++ b/library/interfaces/Makefile @@ -0,0 +1,41 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +M_DEPS := interfaces_ip.tcl +M_DEPS += ../scripts/adi_env.tcl +M_DEPS += ../scripts/adi_ip.tcl + +M_VIVADO := vivado -mode batch -source + +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil + + + +.PHONY: all clean clean-all +all: interfaces.xpr + + +clean:clean-all + + +clean-all: + rm -rf $(M_FLIST) + + +interfaces.xpr: $(M_DEPS) + rm -rf $(M_FLIST) + $(M_VIVADO) interfaces_ip.tcl >> interfaces_ip.log 2>&1 + +#################################################################################### +#################################################################################### diff --git a/library/util_pmod_adc/Makefile b/library/util_pmod_adc/Makefile index 05b3436f4..b96f79884 100644 --- a/library/util_pmod_adc/Makefile +++ b/library/util_pmod_adc/Makefile @@ -8,8 +8,8 @@ M_DEPS := util_pmod_adc_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl -M_DEPS += util_pmod_adc_constr.xdc M_DEPS += util_pmod_adc.v +M_DEPS += util_pmod_adc_constr.xdc M_VIVADO := vivado -mode batch -source diff --git a/projects/Makefile b/projects/Makefile index 10327b414..75ec31ca4 100644 --- a/projects/Makefile +++ b/projects/Makefile @@ -17,6 +17,7 @@ all: -make -C cftl_cip all -make -C cftl_std all -make -C cn0363 all + -make -C common all -make -C daq1 all -make -C daq2 all -make -C daq3 all @@ -26,15 +27,13 @@ all: -make -C fmcjesdadc1 all -make -C fmcomms1 all -make -C fmcomms2 all - -make -C fmcomms2_pr all -make -C fmcomms5 all -make -C fmcomms6 all -make -C fmcomms7 all -make -C imageon all - -make -C imageon_loopback all -make -C motcon2_fmc all -make -C pzsdr all - -make -C pzslb all + -make -C usb_fx3 all -make -C usdrx1 all @@ -49,6 +48,7 @@ clean: make -C cftl_cip clean make -C cftl_std clean make -C cn0363 clean + make -C common clean make -C daq1 clean make -C daq2 clean make -C daq3 clean @@ -58,15 +58,13 @@ clean: make -C fmcjesdadc1 clean make -C fmcomms1 clean make -C fmcomms2 clean - make -C fmcomms2_pr clean make -C fmcomms5 clean make -C fmcomms6 clean make -C fmcomms7 clean make -C imageon clean - make -C imageon_loopback clean make -C motcon2_fmc clean make -C pzsdr clean - make -C pzslb clean + make -C usb_fx3 clean make -C usdrx1 clean @@ -81,6 +79,7 @@ clean-all: make -C cftl_cip clean-all make -C cftl_std clean-all make -C cn0363 clean-all + make -C common clean-all make -C daq1 clean-all make -C daq2 clean-all make -C daq3 clean-all @@ -90,15 +89,13 @@ clean-all: make -C fmcjesdadc1 clean-all make -C fmcomms1 clean-all make -C fmcomms2 clean-all - make -C fmcomms2_pr clean-all make -C fmcomms5 clean-all make -C fmcomms6 clean-all make -C fmcomms7 clean-all make -C imageon clean-all - make -C imageon_loopback clean-all make -C motcon2_fmc clean-all make -C pzsdr clean-all - make -C pzslb clean-all + make -C usb_fx3 clean-all make -C usdrx1 clean-all #################################################################################### diff --git a/projects/ad6676evb/vc707/Makefile b/projects/ad6676evb/vc707/Makefile index b6a8f2efc..789699d30 100644 --- a/projects/ad6676evb/vc707/Makefile +++ b/projects/ad6676evb/vc707/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../common/ad6676evb_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_constr.xdc +M_DEPS += ../../common/vc707/vc707_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr diff --git a/projects/ad6676evb/zc706/Makefile b/projects/ad6676evb/zc706/Makefile index 241acf126..a6cbba810 100644 --- a/projects/ad6676evb/zc706/Makefile +++ b/projects/ad6676evb/zc706/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/ad6676evb_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/ad9265_fmc/zc706/Makefile b/projects/ad9265_fmc/zc706/Makefile index 70e884f29..d1dc365b9 100644 --- a/projects/ad9265_fmc/zc706/Makefile +++ b/projects/ad9265_fmc/zc706/Makefile @@ -5,17 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../common/ad9265_spi.v M_DEPS += ../common/ad9265_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9265/axi_ad9265.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/ad9434_fmc/zc706/Makefile b/projects/ad9434_fmc/zc706/Makefile index 696556bf3..3f18dcb7a 100644 --- a/projects/ad9434_fmc/zc706/Makefile +++ b/projects/ad9434_fmc/zc706/Makefile @@ -5,17 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../common/ad9434_spi.v M_DEPS += ../common/ad9434_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9434/axi_ad9434.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/ad9467_fmc/kc705/Makefile b/projects/ad9467_fmc/kc705/Makefile index 26ce4dd24..b9b6a3516 100644 --- a/projects/ad9467_fmc/kc705/Makefile +++ b/projects/ad9467_fmc/kc705/Makefile @@ -5,18 +5,19 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/kc705/kc705_system_bd.tcl +M_DEPS += ../common/ad9467_spi.v M_DEPS += ../common/ad9467_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../common/kc705/kc705_system_constr.xdc +M_DEPS += ../../common/kc705/kc705_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/ad9467_fmc/zed/Makefile b/projects/ad9467_fmc/zed/Makefile index 9dedd83a7..a08832b57 100644 --- a/projects/ad9467_fmc/zed/Makefile +++ b/projects/ad9467_fmc/zed/Makefile @@ -5,17 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zed/zed_system_bd.tcl +M_DEPS += ../common/ad9467_spi.v M_DEPS += ../common/ad9467_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zed/zed_system_constr.xdc +M_DEPS += ../../common/zed/zed_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/ad9739a_fmc/zc706/Makefile b/projects/ad9739a_fmc/zc706/Makefile index 8ebc473cc..f9e98a2b3 100644 --- a/projects/ad9739a_fmc/zc706/Makefile +++ b/projects/ad9739a_fmc/zc706/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/ad9739a_fmc_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9739a/axi_ad9739a.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/adv7511/ac701/Makefile b/projects/adv7511/ac701/Makefile index b901f7734..16e4a1588 100644 --- a/projects/adv7511/ac701/Makefile +++ b/projects/adv7511/ac701/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/ac701/ac701_system_constr.xdc -M_DEPS += ../../adv7511/ac701/system_constr.xdc +M_DEPS += system_project.tcl M_DEPS += system_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/ac701/ac701_system_mig.prj +M_DEPS += ../../common/ac701/ac701_system_constr.xdc M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl -M_DEPS += ../../common/ac701/ac701_system_mig.prj +M_DEPS += ../../adv7511/ac701/system_constr.xdc +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr diff --git a/projects/adv7511/kc705/Makefile b/projects/adv7511/kc705/Makefile index e182bdf1a..970c44988 100644 --- a/projects/adv7511/kc705/Makefile +++ b/projects/adv7511/kc705/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += system_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl -M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr diff --git a/projects/adv7511/kcu105/Makefile b/projects/adv7511/kcu105/Makefile index d1b540181..7793cadb1 100644 --- a/projects/adv7511/kcu105/Makefile +++ b/projects/adv7511/kcu105/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl M_DEPS += ../common/adv7511_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl +M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc +M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr diff --git a/projects/adv7511/mitx045/Makefile b/projects/adv7511/mitx045/Makefile index 5b49b8c82..3c03e7595 100644 --- a/projects/adv7511/mitx045/Makefile +++ b/projects/adv7511/mitx045/Makefile @@ -5,16 +5,16 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/mitx045/mitx045_system_constr.xdc +M_DEPS += system_project.tcl M_DEPS += system_bd.tcl -M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl +M_DEPS += ../../common/mitx045/mitx045_system_constr.xdc +M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr diff --git a/projects/adv7511/vc707/Makefile b/projects/adv7511/vc707/Makefile index f18e902b2..9fd0f4623 100644 --- a/projects/adv7511/vc707/Makefile +++ b/projects/adv7511/vc707/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += system_top.v -M_DEPS += ../../common/vc707/vc707_system_constr.xdc -M_DEPS += ../../adv7511/vc707/system_constr.xdc +M_DEPS += system_project.tcl M_DEPS += system_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../adv7511/common/adv7511_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_constr.xdc +M_DEPS += ../../common/vc707/vc707_system_bd.tcl +M_DEPS += ../../adv7511/vc707/system_constr.xdc +M_DEPS += ../../adv7511/common/adv7511_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr diff --git a/projects/adv7511/zc702/Makefile b/projects/adv7511/zc702/Makefile index 92a89c27d..6c520c266 100644 --- a/projects/adv7511/zc702/Makefile +++ b/projects/adv7511/zc702/Makefile @@ -5,15 +5,15 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v -M_DEPS += ../../common/zc702/zc702_system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += system_project.tcl M_DEPS += system_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr diff --git a/projects/adv7511/zc706/Makefile b/projects/adv7511/zc706/Makefile index 9597dff2d..3c4655c04 100644 --- a/projects/adv7511/zc706/Makefile +++ b/projects/adv7511/zc706/Makefile @@ -5,15 +5,15 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v -M_DEPS += ../../common/zc706/zc706_system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += system_project.tcl M_DEPS += system_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr diff --git a/projects/adv7511/zed/Makefile b/projects/adv7511/zed/Makefile index 63ace695d..d0360c3ce 100644 --- a/projects/adv7511/zed/Makefile +++ b/projects/adv7511/zed/Makefile @@ -5,15 +5,15 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v -M_DEPS += ../../common/zed/zed_system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += system_project.tcl M_DEPS += system_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr diff --git a/projects/arradio/c5soc/Makefile b/projects/arradio/c5soc/Makefile index c52a06baa..547dc2d38 100644 --- a/projects/arradio/c5soc/Makefile +++ b/projects/arradio/c5soc/Makefile @@ -5,11 +5,78 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl +M_DEPS += system_top.v +M_DEPS += system_project.tcl +M_DEPS += system_constr.sdc +M_DEPS += system_bd.qsys M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/c5soc/c5soc_system_assign.tcl -M_DEPS += ../../../projects/scripts/adi_tquest.tcl -M_DEPS += 0 +M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_alt_lvds_rx.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_alt_lvds_tx.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_dev_if_alt.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_channel.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_pnmon.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tdd.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tdd_if.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx_channel.v +M_DEPS += ../../../library/axi_dmac/2d_transfer.v +M_DEPS += ../../../library/axi_dmac/address_generator.v +M_DEPS += ../../../library/axi_dmac/axi_dmac.v +M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl +M_DEPS += ../../../library/axi_dmac/axi_register_slice.v +M_DEPS += ../../../library/axi_dmac/data_mover.v +M_DEPS += ../../../library/axi_dmac/dest_axi_mm.v +M_DEPS += ../../../library/axi_dmac/dest_axi_stream.v +M_DEPS += ../../../library/axi_dmac/dest_fifo_inf.v +M_DEPS += ../../../library/axi_dmac/inc_id.h +M_DEPS += ../../../library/axi_dmac/request_arb.v +M_DEPS += ../../../library/axi_dmac/request_generator.v +M_DEPS += ../../../library/axi_dmac/resp.h +M_DEPS += ../../../library/axi_dmac/response_generator.v +M_DEPS += ../../../library/axi_dmac/response_handler.v +M_DEPS += ../../../library/axi_dmac/splitter.v +M_DEPS += ../../../library/axi_dmac/src_axi_mm.v +M_DEPS += ../../../library/axi_dmac/src_axi_stream.v +M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v +M_DEPS += ../../../library/common/ad_addsub.v +M_DEPS += ../../../library/common/ad_datafmt.v +M_DEPS += ../../../library/common/ad_dcfilter.v +M_DEPS += ../../../library/common/ad_dds.v +M_DEPS += ../../../library/common/ad_dds_1.v +M_DEPS += ../../../library/common/ad_dds_sine.v +M_DEPS += ../../../library/common/ad_iqcor.v +M_DEPS += ../../../library/common/ad_mul.v +M_DEPS += ../../../library/common/ad_pnmon.v +M_DEPS += ../../../library/common/ad_rst.v +M_DEPS += ../../../library/common/ad_tdd_control.v +M_DEPS += ../../../library/common/altera/DSP48E1.v +M_DEPS += ../../../library/common/altera/MULT_MACRO.v +M_DEPS += ../../../library/common/altera/ad_lvds_clk.v +M_DEPS += ../../../library/common/altera/ad_lvds_in.v +M_DEPS += ../../../library/common/altera/ad_lvds_out.v +M_DEPS += ../../../library/common/sync_bits.v +M_DEPS += ../../../library/common/sync_gray.v +M_DEPS += ../../../library/common/up_adc_channel.v +M_DEPS += ../../../library/common/up_adc_common.v +M_DEPS += ../../../library/common/up_axi.v +M_DEPS += ../../../library/common/up_clock_mon.v +M_DEPS += ../../../library/common/up_dac_channel.v +M_DEPS += ../../../library/common/up_dac_common.v +M_DEPS += ../../../library/common/up_delay_cntrl.v +M_DEPS += ../../../library/common/up_tdd_cntrl.v +M_DEPS += ../../../library/common/up_xfer_cntrl.v +M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/util_adc_pack/util_adc_pack.v +M_DEPS += ../../../library/util_adc_pack/util_adc_pack_hw.tcl +M_DEPS += ../../../library/util_axis_fifo/address_gray.v +M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v +M_DEPS += ../../../library/util_axis_fifo/address_sync.v +M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v +M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v M_ALTERA := quartus_sh --64bit -t diff --git a/projects/cftl_cip/zed/Makefile b/projects/cftl_cip/zed/Makefile index 0b5f8bba8..33231bac1 100644 --- a/projects/cftl_cip/zed/Makefile +++ b/projects/cftl_cip/zed/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../common/zed/zed_system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += system_bd.tcl -M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../common/cftl_cip_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zed/zed_system_constr.xdc +M_DEPS += ../../common/zed/zed_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr @@ -41,7 +41,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib cftl_cip_zed.sdk/system_top.hdf +all: lib cftl_custom_zed.sdk/system_top.hdf clean: @@ -59,9 +59,9 @@ clean-all:clean make -C ../../../library/util_pmod_fmeter clean -cftl_cip_zed.sdk/system_top.hdf: $(M_DEPS) +cftl_custom_zed.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) - $(M_VIVADO) system_project.tcl >> cftl_cip_zed_vivado.log 2>&1 + $(M_VIVADO) system_project.tcl >> cftl_custom_zed_vivado.log 2>&1 lib: diff --git a/projects/cftl_std/zed/Makefile b/projects/cftl_std/zed/Makefile index ef14c1b99..ad3de3c44 100644 --- a/projects/cftl_std/zed/Makefile +++ b/projects/cftl_std/zed/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../common/cftl_std_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zed/zed_system_constr.xdc +M_DEPS += ../../common/zed/zed_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr diff --git a/projects/cn0363/zed/Makefile b/projects/cn0363/zed/Makefile index b75922949..7d982d5dd 100644 --- a/projects/cn0363/zed/Makefile +++ b/projects/cn0363/zed/Makefile @@ -5,16 +5,16 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../common/zed/zed_system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += system_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_generic_adc/axi_generic_adc.xpr diff --git a/projects/pzslb/Makefile b/projects/common/Makefile similarity index 90% rename from projects/pzslb/Makefile rename to projects/common/Makefile index 48e4d5235..6636262bb 100644 --- a/projects/pzslb/Makefile +++ b/projects/common/Makefile @@ -7,15 +7,15 @@ .PHONY: all clean clean-all all: - -make -C rfsom all + -make -C a5gte all clean: - make -C rfsom clean + make -C a5gte clean clean-all: - make -C rfsom clean-all + make -C a5gte clean-all #################################################################################### #################################################################################### diff --git a/projects/common/a5gte/Makefile b/projects/common/a5gte/Makefile new file mode 100644 index 000000000..7b79c11f7 --- /dev/null +++ b/projects/common/a5gte/Makefile @@ -0,0 +1,64 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +M_DEPS += system_top.v +M_DEPS += system_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../../projects/scripts/adi_tquest.tcl + + +M_ALTERA := quartus_sh --64bit -t + + +M_FLIST += *.log +M_FLIST += *_INFO.txt +M_FLIST += *_dump.txt +M_FLIST += db +M_FLIST += *.asm.rpt +M_FLIST += *.done +M_FLIST += *.eda.rpt +M_FLIST += *.fit.* +M_FLIST += *.map.* +M_FLIST += *.sta.* +M_FLIST += *.qsf +M_FLIST += *.qpf +M_FLIST += *.qws +M_FLIST += *.sof +M_FLIST += *.cdf +M_FLIST += *.sld +M_FLIST += *.qdf +M_FLIST += hc_output +M_FLIST += system_bd +M_FLIST += hps_isw_handoff +M_FLIST += hps_sdram_*.csv +M_FLIST += *ddr3_*.csv +M_FLIST += incremental_db +M_FLIST += reconfig_mif +M_FLIST += *.sopcinfo +M_FLIST += *.jdi +M_FLIST += *.pin + + + +.PHONY: all clean clean-all +all: a5gte.sof + + + +clean:clean-all + + +clean-all: + rm -rf $(M_FLIST) + + +a5gte.sof: $(M_DEPS) + rm -rf $(M_FLIST) + $(M_ALTERA) system_project.tcl >> a5gte_quartus.log 2>&1 + +#################################################################################### +#################################################################################### diff --git a/projects/daq1/zc706/Makefile b/projects/daq1/zc706/Makefile index fea134048..bcb19e751 100644 --- a/projects/daq1/zc706/Makefile +++ b/projects/daq1/zc706/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../common/daq1_spi.v -M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../common/daq1_spi.v M_DEPS += ../common/daq1_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr diff --git a/projects/daq2/a10gx/Makefile b/projects/daq2/a10gx/Makefile index d96d5a142..be5ffa997 100644 --- a/projects/daq2/a10gx/Makefile +++ b/projects/daq2/a10gx/Makefile @@ -5,11 +5,81 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl +M_DEPS += system_top.v +M_DEPS += system_project.tcl +M_DEPS += system_constr.sdc +M_DEPS += system_bd.qsys +M_DEPS += ../common/daq2_spi.v M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl -M_DEPS += ../../../projects/scripts/adi_tquest.tcl -M_DEPS += 0 +M_DEPS += ../../../projects/daq2/common/daq2_bd.qsys +M_DEPS += ../../../projects/common/a10gx/a10gx_system_bd.qsys +M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/axi_ad9144/axi_ad9144.v +M_DEPS += ../../../library/axi_ad9144/axi_ad9144_channel.v +M_DEPS += ../../../library/axi_ad9144/axi_ad9144_core.v +M_DEPS += ../../../library/axi_ad9144/axi_ad9144_hw.tcl +M_DEPS += ../../../library/axi_ad9144/axi_ad9144_if.v +M_DEPS += ../../../library/axi_ad9680/axi_ad9680.v +M_DEPS += ../../../library/axi_ad9680/axi_ad9680_channel.v +M_DEPS += ../../../library/axi_ad9680/axi_ad9680_hw.tcl +M_DEPS += ../../../library/axi_ad9680/axi_ad9680_if.v +M_DEPS += ../../../library/axi_ad9680/axi_ad9680_pnmon.v +M_DEPS += ../../../library/axi_dmac/2d_transfer.v +M_DEPS += ../../../library/axi_dmac/address_generator.v +M_DEPS += ../../../library/axi_dmac/axi_dmac.v +M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl +M_DEPS += ../../../library/axi_dmac/axi_register_slice.v +M_DEPS += ../../../library/axi_dmac/data_mover.v +M_DEPS += ../../../library/axi_dmac/dest_axi_mm.v +M_DEPS += ../../../library/axi_dmac/dest_axi_stream.v +M_DEPS += ../../../library/axi_dmac/dest_fifo_inf.v +M_DEPS += ../../../library/axi_dmac/inc_id.h +M_DEPS += ../../../library/axi_dmac/request_arb.v +M_DEPS += ../../../library/axi_dmac/request_generator.v +M_DEPS += ../../../library/axi_dmac/resp.h +M_DEPS += ../../../library/axi_dmac/response_generator.v +M_DEPS += ../../../library/axi_dmac/response_handler.v +M_DEPS += ../../../library/axi_dmac/splitter.v +M_DEPS += ../../../library/axi_dmac/src_axi_mm.v +M_DEPS += ../../../library/axi_dmac/src_axi_stream.v +M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v +M_DEPS += ../../../library/axi_jesd_xcvr/axi_jesd_xcvr.v +M_DEPS += ../../../library/axi_jesd_xcvr/axi_jesd_xcvr_hw.tcl +M_DEPS += ../../../library/common/ad_datafmt.v +M_DEPS += ../../../library/common/ad_dds.v +M_DEPS += ../../../library/common/ad_dds_1.v +M_DEPS += ../../../library/common/ad_dds_sine.v +M_DEPS += ../../../library/common/ad_jesd_align.v +M_DEPS += ../../../library/common/ad_mul.v +M_DEPS += ../../../library/common/ad_pnmon.v +M_DEPS += ../../../library/common/ad_rst.v +M_DEPS += ../../../library/common/altera/MULT_MACRO.v +M_DEPS += ../../../library/common/sync_bits.v +M_DEPS += ../../../library/common/sync_gray.v +M_DEPS += ../../../library/common/up_adc_channel.v +M_DEPS += ../../../library/common/up_adc_common.v +M_DEPS += ../../../library/common/up_axi.v +M_DEPS += ../../../library/common/up_clock_mon.v +M_DEPS += ../../../library/common/up_dac_channel.v +M_DEPS += ../../../library/common/up_dac_common.v +M_DEPS += ../../../library/common/up_delay_cntrl.v +M_DEPS += ../../../library/common/up_xcvr.v +M_DEPS += ../../../library/common/up_xfer_cntrl.v +M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/util_axis_fifo/address_gray.v +M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v +M_DEPS += ../../../library/util_axis_fifo/address_sync.v +M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v +M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v +M_DEPS += ../../../library/util_cpack/util_cpack.v +M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v +M_DEPS += ../../../library/util_cpack/util_cpack_hw.tcl +M_DEPS += ../../../library/util_cpack/util_cpack_mux.v +M_DEPS += ../../../library/util_upack/util_upack.v +M_DEPS += ../../../library/util_upack/util_upack_dmx.v +M_DEPS += ../../../library/util_upack/util_upack_dsf.v +M_DEPS += ../../../library/util_upack/util_upack_hw.tcl M_ALTERA := quartus_sh --64bit -t diff --git a/projects/daq2/kc705/Makefile b/projects/daq2/kc705/Makefile index 97a0ef418..62d217be4 100644 --- a/projects/daq2/kc705/Makefile +++ b/projects/daq2/kc705/Makefile @@ -5,20 +5,20 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../common/daq2_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/kc705/kc705_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_dmafifo.tcl +M_DEPS += ../common/daq2_spi.v M_DEPS += ../common/daq2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../common/kc705/kc705_system_constr.xdc +M_DEPS += ../../common/kc705/kc705_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/daq2/kcu105/Makefile b/projects/daq2/kcu105/Makefile index 9cbbe7bf5..6c51e2d2d 100644 --- a/projects/daq2/kcu105/Makefile +++ b/projects/daq2/kcu105/Makefile @@ -5,20 +5,20 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../common/daq2_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_dmafifo.tcl +M_DEPS += ../common/daq2_spi.v M_DEPS += ../common/daq2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl +M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc +M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/daq2/vc707/Makefile b/projects/daq2/vc707/Makefile index 598300c5e..5ea067321 100644 --- a/projects/daq2/vc707/Makefile +++ b/projects/daq2/vc707/Makefile @@ -5,20 +5,20 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../common/daq2_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_dmafifo.tcl +M_DEPS += ../common/daq2_spi.v M_DEPS += ../common/daq2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_constr.xdc +M_DEPS += ../../common/vc707/vc707_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/daq2/zc706/Makefile b/projects/daq2/zc706/Makefile index 1c8f9f76d..710142553 100644 --- a/projects/daq2/zc706/Makefile +++ b/projects/daq2/zc706/Makefile @@ -5,22 +5,22 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../common/daq2_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl -M_DEPS += ../../common/xilinx/sys_dmafifo.tcl +M_DEPS += ../common/daq2_spi.v M_DEPS += ../common/daq2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl +M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../common/xilinx/sys_dmafifo.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr diff --git a/projects/daq3/zc706/Makefile b/projects/daq3/zc706/Makefile index e1d1026b1..3c5c5104a 100644 --- a/projects/daq3/zc706/Makefile +++ b/projects/daq3/zc706/Makefile @@ -5,22 +5,22 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../common/daq3_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl -M_DEPS += ../../common/xilinx/sys_dmafifo.tcl +M_DEPS += ../common/daq3_spi.v M_DEPS += ../common/daq3_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl +M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../common/xilinx/sys_dmafifo.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr @@ -32,6 +32,7 @@ M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr +M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr M_DEPS += ../../../library/util_upack/util_upack.xpr M_VIVADO := vivado -mode batch -source @@ -69,6 +70,7 @@ clean-all:clean make -C ../../../library/util_adcfifo clean make -C ../../../library/util_cpack clean make -C ../../../library/util_dacfifo clean + make -C ../../../library/util_jesd_gt clean make -C ../../../library/util_upack clean @@ -89,6 +91,7 @@ lib: make -C ../../../library/util_adcfifo make -C ../../../library/util_cpack make -C ../../../library/util_dacfifo + make -C ../../../library/util_jesd_gt make -C ../../../library/util_upack #################################################################################### diff --git a/projects/fmcadc2/vc707/Makefile b/projects/fmcadc2/vc707/Makefile index 2a07c5abe..261bcba5c 100644 --- a/projects/fmcadc2/vc707/Makefile +++ b/projects/fmcadc2/vc707/Makefile @@ -5,20 +5,20 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../common/fmcadc2_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_dmafifo.tcl +M_DEPS += ../common/fmcadc2_spi.v M_DEPS += ../common/fmcadc2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_constr.xdc +M_DEPS += ../../common/vc707/vc707_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr diff --git a/projects/fmcadc2/zc706/Makefile b/projects/fmcadc2/zc706/Makefile index 11ad252c1..034ea3b33 100644 --- a/projects/fmcadc2/zc706/Makefile +++ b/projects/fmcadc2/zc706/Makefile @@ -5,21 +5,21 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../common/fmcadc2_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl +M_DEPS += ../common/fmcadc2_spi.v M_DEPS += ../common/fmcadc2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl +M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr diff --git a/projects/fmcadc4/zc706/Makefile b/projects/fmcadc4/zc706/Makefile index 3ad8951e5..72e51fb79 100644 --- a/projects/fmcadc4/zc706/Makefile +++ b/projects/fmcadc4/zc706/Makefile @@ -5,21 +5,21 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../common/fmcadc4_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl +M_DEPS += ../common/fmcadc4_spi.v M_DEPS += ../common/fmcadc4_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl +M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr diff --git a/projects/fmcadc5/vc707/Makefile b/projects/fmcadc5/vc707/Makefile index f88ea21f1..32e49b899 100644 --- a/projects/fmcadc5/vc707/Makefile +++ b/projects/fmcadc5/vc707/Makefile @@ -5,20 +5,21 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../common/fmcadc5_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_dmafifo.tcl +M_DEPS += ../common/fmcadc5_spi.v +M_DEPS += ../common/fmcadc5_psync.v M_DEPS += ../common/fmcadc5_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_constr.xdc +M_DEPS += ../../common/vc707/vc707_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr diff --git a/projects/fmcjesdadc1/Makefile b/projects/fmcjesdadc1/Makefile index 1f822eee3..ed9af23ef 100644 --- a/projects/fmcjesdadc1/Makefile +++ b/projects/fmcjesdadc1/Makefile @@ -7,6 +7,7 @@ .PHONY: all clean clean-all all: + -make -C a5gt all -make -C a5gt all -make -C a5soc all -make -C kc705 all @@ -15,6 +16,7 @@ all: clean: + make -C a5gt clean make -C a5gt clean make -C a5soc clean make -C kc705 clean @@ -23,6 +25,7 @@ clean: clean-all: + make -C a5gt clean-all make -C a5gt clean-all make -C a5soc clean-all make -C kc705 clean-all diff --git a/projects/fmcjesdadc1/a5gt/Makefile b/projects/fmcjesdadc1/a5gt/Makefile index 13a3cf4d6..2d1af6ae6 100644 --- a/projects/fmcjesdadc1/a5gt/Makefile +++ b/projects/fmcjesdadc1/a5gt/Makefile @@ -5,11 +5,67 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl +M_DEPS += system_top.v +M_DEPS += system_project.tcl +M_DEPS += system_constr.sdc +M_DEPS += system_bd.qsys +M_DEPS += ../common/fmcjesdadc1_spi.v M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a5gt/a5gt_system_assign.tcl -M_DEPS += ../../../projects/scripts/adi_tquest.tcl -M_DEPS += 0 +M_DEPS += ../../../projects/fmcjesdadc1/common/fmcjesdadc1_bd.qsys +M_DEPS += ../../../projects/common/a5gt/a5gt_system_bd.qsys +M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_if.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_pnmon.v +M_DEPS += ../../../library/axi_dmac/2d_transfer.v +M_DEPS += ../../../library/axi_dmac/address_generator.v +M_DEPS += ../../../library/axi_dmac/axi_dmac.v +M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl +M_DEPS += ../../../library/axi_dmac/axi_register_slice.v +M_DEPS += ../../../library/axi_dmac/data_mover.v +M_DEPS += ../../../library/axi_dmac/dest_axi_mm.v +M_DEPS += ../../../library/axi_dmac/dest_axi_stream.v +M_DEPS += ../../../library/axi_dmac/dest_fifo_inf.v +M_DEPS += ../../../library/axi_dmac/inc_id.h +M_DEPS += ../../../library/axi_dmac/request_arb.v +M_DEPS += ../../../library/axi_dmac/request_generator.v +M_DEPS += ../../../library/axi_dmac/resp.h +M_DEPS += ../../../library/axi_dmac/response_generator.v +M_DEPS += ../../../library/axi_dmac/response_handler.v +M_DEPS += ../../../library/axi_dmac/splitter.v +M_DEPS += ../../../library/axi_dmac/src_axi_mm.v +M_DEPS += ../../../library/axi_dmac/src_axi_stream.v +M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v +M_DEPS += ../../../library/axi_jesd_xcvr/axi_jesd_xcvr.v +M_DEPS += ../../../library/axi_jesd_xcvr/axi_jesd_xcvr_hw.tcl +M_DEPS += ../../../library/common/ad_datafmt.v +M_DEPS += ../../../library/common/ad_jesd_align.v +M_DEPS += ../../../library/common/ad_pnmon.v +M_DEPS += ../../../library/common/ad_rst.v +M_DEPS += ../../../library/common/sync_bits.v +M_DEPS += ../../../library/common/sync_gray.v +M_DEPS += ../../../library/common/up_adc_channel.v +M_DEPS += ../../../library/common/up_adc_common.v +M_DEPS += ../../../library/common/up_axi.v +M_DEPS += ../../../library/common/up_clock_mon.v +M_DEPS += ../../../library/common/up_delay_cntrl.v +M_DEPS += ../../../library/common/up_xcvr.v +M_DEPS += ../../../library/common/up_xfer_cntrl.v +M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/util_axis_fifo/address_gray.v +M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v +M_DEPS += ../../../library/util_axis_fifo/address_sync.v +M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v +M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v +M_DEPS += ../../../library/util_bsplit/util_bsplit.v +M_DEPS += ../../../library/util_bsplit/util_bsplit_hw.tcl +M_DEPS += ../../../library/util_cpack/util_cpack.v +M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v +M_DEPS += ../../../library/util_cpack/util_cpack_hw.tcl +M_DEPS += ../../../library/util_cpack/util_cpack_mux.v M_ALTERA := quartus_sh --64bit -t diff --git a/projects/fmcjesdadc1/a5soc/Makefile b/projects/fmcjesdadc1/a5soc/Makefile index d3abf1e78..df2d6f30b 100644 --- a/projects/fmcjesdadc1/a5soc/Makefile +++ b/projects/fmcjesdadc1/a5soc/Makefile @@ -5,11 +5,67 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl +M_DEPS += system_top.v +M_DEPS += system_project.tcl +M_DEPS += system_constr.sdc +M_DEPS += system_bd.qsys +M_DEPS += ../common/fmcjesdadc1_spi.v M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a5soc/a5soc_system_assign.tcl -M_DEPS += ../../../projects/scripts/adi_tquest.tcl -M_DEPS += 0 +M_DEPS += ../../../projects/fmcjesdadc1/common/fmcjesdadc1_bd.qsys +M_DEPS += ../../../projects/common/a5soc/a5soc_system_bd.qsys +M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_if.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_pnmon.v +M_DEPS += ../../../library/axi_dmac/2d_transfer.v +M_DEPS += ../../../library/axi_dmac/address_generator.v +M_DEPS += ../../../library/axi_dmac/axi_dmac.v +M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl +M_DEPS += ../../../library/axi_dmac/axi_register_slice.v +M_DEPS += ../../../library/axi_dmac/data_mover.v +M_DEPS += ../../../library/axi_dmac/dest_axi_mm.v +M_DEPS += ../../../library/axi_dmac/dest_axi_stream.v +M_DEPS += ../../../library/axi_dmac/dest_fifo_inf.v +M_DEPS += ../../../library/axi_dmac/inc_id.h +M_DEPS += ../../../library/axi_dmac/request_arb.v +M_DEPS += ../../../library/axi_dmac/request_generator.v +M_DEPS += ../../../library/axi_dmac/resp.h +M_DEPS += ../../../library/axi_dmac/response_generator.v +M_DEPS += ../../../library/axi_dmac/response_handler.v +M_DEPS += ../../../library/axi_dmac/splitter.v +M_DEPS += ../../../library/axi_dmac/src_axi_mm.v +M_DEPS += ../../../library/axi_dmac/src_axi_stream.v +M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v +M_DEPS += ../../../library/axi_jesd_xcvr/axi_jesd_xcvr.v +M_DEPS += ../../../library/axi_jesd_xcvr/axi_jesd_xcvr_hw.tcl +M_DEPS += ../../../library/common/ad_datafmt.v +M_DEPS += ../../../library/common/ad_jesd_align.v +M_DEPS += ../../../library/common/ad_pnmon.v +M_DEPS += ../../../library/common/ad_rst.v +M_DEPS += ../../../library/common/sync_bits.v +M_DEPS += ../../../library/common/sync_gray.v +M_DEPS += ../../../library/common/up_adc_channel.v +M_DEPS += ../../../library/common/up_adc_common.v +M_DEPS += ../../../library/common/up_axi.v +M_DEPS += ../../../library/common/up_clock_mon.v +M_DEPS += ../../../library/common/up_delay_cntrl.v +M_DEPS += ../../../library/common/up_xcvr.v +M_DEPS += ../../../library/common/up_xfer_cntrl.v +M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/util_axis_fifo/address_gray.v +M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v +M_DEPS += ../../../library/util_axis_fifo/address_sync.v +M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v +M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v +M_DEPS += ../../../library/util_bsplit/util_bsplit.v +M_DEPS += ../../../library/util_bsplit/util_bsplit_hw.tcl +M_DEPS += ../../../library/util_cpack/util_cpack.v +M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v +M_DEPS += ../../../library/util_cpack/util_cpack_hw.tcl +M_DEPS += ../../../library/util_cpack/util_cpack_mux.v M_ALTERA := quartus_sh --64bit -t diff --git a/projects/fmcjesdadc1/kc705/Makefile b/projects/fmcjesdadc1/kc705/Makefile index 8a55b621e..71ce938e1 100644 --- a/projects/fmcjesdadc1/kc705/Makefile +++ b/projects/fmcjesdadc1/kc705/Makefile @@ -5,19 +5,19 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl +M_DEPS += system_top.v +M_DEPS += system_project.tcl +M_DEPS += system_constr.xdc +M_DEPS += system_bd.tcl +M_DEPS += ../common/fmcjesdadc1_spi.v +M_DEPS += ../common/fmcjesdadc1_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../common/fmcjesdadc1_spi.v -M_DEPS += system_top.v -M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/kc705/kc705_system_constr.xdc -M_DEPS += system_bd.tcl -M_DEPS += ../../common/kc705/kc705_system_bd.tcl -M_DEPS += ../common/fmcjesdadc1_bd.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../common/kc705/kc705_system_constr.xdc +M_DEPS += ../../common/kc705/kc705_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr diff --git a/projects/fmcjesdadc1/vc707/Makefile b/projects/fmcjesdadc1/vc707/Makefile index 10159032f..dcfd2dbef 100644 --- a/projects/fmcjesdadc1/vc707/Makefile +++ b/projects/fmcjesdadc1/vc707/Makefile @@ -5,19 +5,19 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../common/fmcjesdadc1_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_bd.tcl +M_DEPS += ../common/fmcjesdadc1_spi.v M_DEPS += ../common/fmcjesdadc1_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_constr.xdc +M_DEPS += ../../common/vc707/vc707_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr diff --git a/projects/fmcjesdadc1/zc706/Makefile b/projects/fmcjesdadc1/zc706/Makefile index de77fa60b..72db888ec 100644 --- a/projects/fmcjesdadc1/zc706/Makefile +++ b/projects/fmcjesdadc1/zc706/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../common/fmcjesdadc1_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../common/fmcjesdadc1_spi.v M_DEPS += ../common/fmcjesdadc1_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms1/ac701/Makefile b/projects/fmcomms1/ac701/Makefile index 9f9682166..238362c27 100644 --- a/projects/fmcomms1/ac701/Makefile +++ b/projects/fmcomms1/ac701/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/ac701/ac701_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../common/fmcomms1_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/ac701/ac701_system_mig.prj +M_DEPS += ../../common/ac701/ac701_system_constr.xdc +M_DEPS += ../../common/ac701/ac701_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms1/kc705/Makefile b/projects/fmcomms1/kc705/Makefile index bc86e34bb..8a42ea764 100644 --- a/projects/fmcomms1/kc705/Makefile +++ b/projects/fmcomms1/kc705/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../common/fmcomms1_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../common/kc705/kc705_system_constr.xdc +M_DEPS += ../../common/kc705/kc705_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms1/vc707/Makefile b/projects/fmcomms1/vc707/Makefile index 8a873c9cc..fcb4df7c3 100644 --- a/projects/fmcomms1/vc707/Makefile +++ b/projects/fmcomms1/vc707/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../common/fmcomms1_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_constr.xdc +M_DEPS += ../../common/vc707/vc707_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms1/zc702/Makefile b/projects/fmcomms1/zc702/Makefile index 9312807d7..50e606602 100644 --- a/projects/fmcomms1/zc702/Makefile +++ b/projects/fmcomms1/zc702/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc702/zc702_system_bd.tcl M_DEPS += ../common/fmcomms1_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc702/zc702_system_constr.xdc +M_DEPS += ../../common/zc702/zc702_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr diff --git a/projects/fmcomms1/zc706/Makefile b/projects/fmcomms1/zc706/Makefile index 16f020ed8..db02e1958 100644 --- a/projects/fmcomms1/zc706/Makefile +++ b/projects/fmcomms1/zc706/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/fmcomms1_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr diff --git a/projects/fmcomms1/zed/Makefile b/projects/fmcomms1/zed/Makefile index 3080090f2..133c9cef9 100644 --- a/projects/fmcomms1/zed/Makefile +++ b/projects/fmcomms1/zed/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../common/fmcomms1_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zed/zed_system_constr.xdc +M_DEPS += ../../common/zed/zed_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr diff --git a/projects/fmcomms2/Makefile b/projects/fmcomms2/Makefile index 3b90c96a0..9e2211814 100644 --- a/projects/fmcomms2/Makefile +++ b/projects/fmcomms2/Makefile @@ -13,7 +13,6 @@ all: -make -C vc707 all -make -C zc702 all -make -C zc706 all - -make -C zc706pr all -make -C zed all @@ -24,7 +23,6 @@ clean: make -C vc707 clean make -C zc702 clean make -C zc706 clean - make -C zc706pr clean make -C zed clean @@ -35,7 +33,6 @@ clean-all: make -C vc707 clean-all make -C zc702 clean-all make -C zc706 clean-all - make -C zc706pr clean-all make -C zed clean-all #################################################################################### diff --git a/projects/fmcomms2/ac701/Makefile b/projects/fmcomms2/ac701/Makefile index bcc299cdb..ac7c36889 100644 --- a/projects/fmcomms2/ac701/Makefile +++ b/projects/fmcomms2/ac701/Makefile @@ -5,19 +5,19 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/ac701/ac701_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/ac701/ac701_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../common/ac701/ac701_system_mig.prj +M_DEPS += ../../common/ac701/ac701_system_constr.xdc +M_DEPS += ../../common/ac701/ac701_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr diff --git a/projects/fmcomms2/kc705/Makefile b/projects/fmcomms2/kc705/Makefile index bb41082b8..e88a3a1d4 100644 --- a/projects/fmcomms2/kc705/Makefile +++ b/projects/fmcomms2/kc705/Makefile @@ -5,19 +5,19 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/kc705/kc705_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../common/kc705/kc705_system_constr.xdc +M_DEPS += ../../common/kc705/kc705_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr diff --git a/projects/fmcomms2/mitx045/Makefile b/projects/fmcomms2/mitx045/Makefile index 7c4acd970..d12a57f3f 100644 --- a/projects/fmcomms2/mitx045/Makefile +++ b/projects/fmcomms2/mitx045/Makefile @@ -5,19 +5,19 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/mitx045/mitx045_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl +M_DEPS += ../../common/mitx045/mitx045_system_constr.xdc +M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms2/vc707/Makefile b/projects/fmcomms2/vc707/Makefile index 571ba6415..198f1c91c 100644 --- a/projects/fmcomms2/vc707/Makefile +++ b/projects/fmcomms2/vc707/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_constr.xdc +M_DEPS += ../../common/vc707/vc707_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr diff --git a/projects/fmcomms2/zc702/Makefile b/projects/fmcomms2/zc702/Makefile index ed19eb481..6986dca0a 100644 --- a/projects/fmcomms2/zc702/Makefile +++ b/projects/fmcomms2/zc702/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += system_bd.tcl +M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl -M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms2/zc706/Makefile b/projects/fmcomms2/zc706/Makefile index 0591aec43..e95633f27 100644 --- a/projects/fmcomms2/zc706/Makefile +++ b/projects/fmcomms2/zc706/Makefile @@ -5,21 +5,21 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += system_top.v +M_DEPS += system_project.tcl +M_DEPS += system_constr.xdc +M_DEPS += system_bd.tcl M_DEPS += ../common/prcfg.v -M_DEPS += ../../../library/prcfg/common/prcfg_top.v +M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/prcfg/default/prcfg_dac.v M_DEPS += ../../../library/prcfg/default/prcfg_adc.v -M_DEPS += system_top.v -M_DEPS += system_constr.xdc +M_DEPS += ../../../library/prcfg/common/prcfg_top.v M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_constr.xdc -M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms2/zed/Makefile b/projects/fmcomms2/zed/Makefile index 38448c4e3..b75c709b2 100644 --- a/projects/fmcomms2/zed/Makefile +++ b/projects/fmcomms2/zed/Makefile @@ -5,18 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += system_bd.tcl +M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl -M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms5/zc702/Makefile b/projects/fmcomms5/zc702/Makefile index edf3e9430..f352d84f3 100644 --- a/projects/fmcomms5/zc702/Makefile +++ b/projects/fmcomms5/zc702/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc702/zc702_system_bd.tcl M_DEPS += ../common/fmcomms5_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc702/zc702_system_constr.xdc +M_DEPS += ../../common/zc702/zc702_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms5/zc706/Makefile b/projects/fmcomms5/zc706/Makefile index 30cf50b56..5b83a9b4c 100644 --- a/projects/fmcomms5/zc706/Makefile +++ b/projects/fmcomms5/zc706/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/fmcomms5_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms6/zc706/Makefile b/projects/fmcomms6/zc706/Makefile index 4931d9fe1..15699ccfb 100644 --- a/projects/fmcomms6/zc706/Makefile +++ b/projects/fmcomms6/zc706/Makefile @@ -5,19 +5,18 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../common/fmcomms6_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_wfifo.tcl +M_DEPS += ../common/fmcomms6_spi.v M_DEPS += ../common/fmcomms6_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9652/axi_ad9652.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms7/zc706/Makefile b/projects/fmcomms7/zc706/Makefile index ff6422393..95fc249f4 100644 --- a/projects/fmcomms7/zc706/Makefile +++ b/projects/fmcomms7/zc706/Makefile @@ -5,22 +5,22 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../common/fmcomms7_spi.v M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl -M_DEPS += ../../common/xilinx/sys_dmafifo.tcl +M_DEPS += ../common/fmcomms7_spi.v M_DEPS += ../common/fmcomms7_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl +M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../common/xilinx/sys_dmafifo.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr diff --git a/projects/imageon/zc706/Makefile b/projects/imageon/zc706/Makefile index fd4c2cd28..7e0d311db 100644 --- a/projects/imageon/zc706/Makefile +++ b/projects/imageon/zc706/Makefile @@ -5,16 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/imageon_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_hdmi_rx/axi_hdmi_rx.xpr diff --git a/projects/imageon/zed/Makefile b/projects/imageon/zed/Makefile index 60b89fd18..dc58dca51 100644 --- a/projects/imageon/zed/Makefile +++ b/projects/imageon/zed/Makefile @@ -5,16 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../common/imageon_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zed/zed_system_constr.xdc +M_DEPS += ../../common/zed/zed_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_hdmi_rx/axi_hdmi_rx.xpr diff --git a/projects/motcon2_fmc/zed/Makefile b/projects/motcon2_fmc/zed/Makefile index 9c0f9348b..e891adcde 100644 --- a/projects/motcon2_fmc/zed/Makefile +++ b/projects/motcon2_fmc/zed/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../common/motcon2_fmc_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zed/zed_system_constr.xdc +M_DEPS += ../../common/zed/zed_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr diff --git a/projects/pzsdr/ccbrk/Makefile b/projects/pzsdr/ccbrk/Makefile index 25ac16d7f..e7c199b0c 100644 --- a/projects/pzsdr/ccbrk/Makefile +++ b/projects/pzsdr/ccbrk/Makefile @@ -5,20 +5,20 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/pzsdr/pzsdr_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/pzsdr/pzsdr_system_bd.tcl M_DEPS += ../common/ccbrk_bd.tcl -M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl -M_DEPS += ../../common/xilinx/sys_wfifo.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../fmcomms2/common/fmcomms2_bd.tcl +M_DEPS += ../../common/xilinx/sys_wfifo.tcl +M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl +M_DEPS += ../../common/pzsdr/pzsdr_system_constr.xdc +M_DEPS += ../../common/pzsdr/pzsdr_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr @@ -43,7 +43,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib pzsdr_ccbrk.sdk/system_top.hdf +all: lib ccbrk_pzsdr.sdk/system_top.hdf clean: @@ -60,9 +60,9 @@ clean-all:clean make -C ../../../library/util_wfifo clean -pzsdr_ccbrk.sdk/system_top.hdf: $(M_DEPS) +ccbrk_pzsdr.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) - $(M_VIVADO) system_project.tcl >> pzsdr_ccbrk_vivado.log 2>&1 + $(M_VIVADO) system_project.tcl >> ccbrk_pzsdr_vivado.log 2>&1 lib: diff --git a/projects/pzsdr/ccfmc/Makefile b/projects/pzsdr/ccfmc/Makefile index 5f213ad80..ec82bc578 100644 --- a/projects/pzsdr/ccfmc/Makefile +++ b/projects/pzsdr/ccfmc/Makefile @@ -5,20 +5,20 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/pzsdr/pzsdr_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/pzsdr/pzsdr_system_bd.tcl M_DEPS += ../common/ccfmc_bd.tcl -M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl -M_DEPS += ../../common/xilinx/sys_wfifo.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../fmcomms2/common/fmcomms2_bd.tcl +M_DEPS += ../../common/xilinx/sys_wfifo.tcl +M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl +M_DEPS += ../../common/pzsdr/pzsdr_system_constr.xdc +M_DEPS += ../../common/pzsdr/pzsdr_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr @@ -45,7 +45,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib pzsdr_ccfmc.sdk/system_top.hdf +all: lib ccfmc_pzsdr.sdk/system_top.hdf clean: @@ -64,9 +64,9 @@ clean-all:clean make -C ../../../library/util_wfifo clean -pzsdr_ccfmc.sdk/system_top.hdf: $(M_DEPS) +ccfmc_pzsdr.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) - $(M_VIVADO) system_project.tcl >> pzsdr_ccfmc_vivado.log 2>&1 + $(M_VIVADO) system_project.tcl >> ccfmc_pzsdr_vivado.log 2>&1 lib: diff --git a/projects/pzsdr/ccpci/Makefile b/projects/pzsdr/ccpci/Makefile index 225b4ef2b..783c68ddb 100644 --- a/projects/pzsdr/ccpci/Makefile +++ b/projects/pzsdr/ccpci/Makefile @@ -5,20 +5,20 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/pzsdr/pzsdr_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/pzsdr/pzsdr_system_bd.tcl M_DEPS += ../common/ccpci_bd.tcl -M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl -M_DEPS += ../../common/xilinx/sys_wfifo.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../fmcomms2/common/fmcomms2_bd.tcl +M_DEPS += ../../common/xilinx/sys_wfifo.tcl +M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl +M_DEPS += ../../common/pzsdr/pzsdr_system_constr.xdc +M_DEPS += ../../common/pzsdr/pzsdr_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr @@ -41,7 +41,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib pzsdr_ccpci.sdk/system_top.hdf +all: lib ccpci_pzsdr.sdk/system_top.hdf clean: @@ -56,9 +56,9 @@ clean-all:clean make -C ../../../library/util_wfifo clean -pzsdr_ccpci.sdk/system_top.hdf: $(M_DEPS) +ccpci_pzsdr.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) - $(M_VIVADO) system_project.tcl >> pzsdr_ccpci_vivado.log 2>&1 + $(M_VIVADO) system_project.tcl >> ccpci_pzsdr_vivado.log 2>&1 lib: diff --git a/projects/usb_fx3/Makefile b/projects/usb_fx3/Makefile new file mode 100644 index 000000000..5b866a1ac --- /dev/null +++ b/projects/usb_fx3/Makefile @@ -0,0 +1,21 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +.PHONY: all clean clean-all +all: + -make -C zc706 all + + +clean: + make -C zc706 clean + + +clean-all: + make -C zc706 clean-all + +#################################################################################### +#################################################################################### diff --git a/projects/usb_fx3/zc706/Makefile b/projects/usb_fx3/zc706/Makefile new file mode 100644 index 000000000..6aaca50b6 --- /dev/null +++ b/projects/usb_fx3/zc706/Makefile @@ -0,0 +1,63 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +M_DEPS += system_top.v +M_DEPS += system_project.tcl +M_DEPS += system_constr.xdc +M_DEPS += system_bd.tcl +M_DEPS += ../../usb_fx3/common/usb_fx3_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr + +M_VIVADO := vivado -mode batch -source + +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil + + + +.PHONY: all lib clean clean-all +all: lib usb_fx3_zc706.sdk/system_top.hdf + + +clean: + rm -rf $(M_FLIST) + + +clean-all:clean + make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean + + +usb_fx3_zc706.sdk/system_top.hdf: $(M_DEPS) + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> usb_fx3_zc706_vivado.log 2>&1 + + +lib: + make -C ../../../library/axi_clkgen + make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx + +#################################################################################### +#################################################################################### diff --git a/projects/usdrx1/a5gt/Makefile b/projects/usdrx1/a5gt/Makefile index 565d62cee..9be0289b1 100644 --- a/projects/usdrx1/a5gt/Makefile +++ b/projects/usdrx1/a5gt/Makefile @@ -5,11 +5,12 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl +M_DEPS += system_project.tcl +M_DEPS += ../common/usdrx1_spi.v M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a5gt/a5gt_system_assign.tcl -M_DEPS += ../../../projects/scripts/adi_tquest.tcl -M_DEPS += 0 +M_DEPS += ../../../library/common/altera/ad_xcvr_rx_rst.v +M_DEPS += ../../../library/common/altera/ad_jesd_align.v M_ALTERA := quartus_sh --64bit -t diff --git a/projects/usdrx1/zc706/Makefile b/projects/usdrx1/zc706/Makefile index 1d20cfcec..4a0ec0f19 100644 --- a/projects/usdrx1/zc706/Makefile +++ b/projects/usdrx1/zc706/Makefile @@ -5,20 +5,20 @@ #################################################################################### #################################################################################### -M_DEPS := system_project.tcl -M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../scripts/adi_project.tcl -M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_top.v +M_DEPS += system_project.tcl M_DEPS += system_constr.xdc -M_DEPS += ../common/usdrx1_spi.v -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl +M_DEPS += ../common/usdrx1_spi.v M_DEPS += ../common/usdrx1_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl +M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/axi_ad9671/axi_ad9671.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr