pzsdr/ccfmc- single loopback core
parent
28bfeb442c
commit
9e27a60478
|
@ -91,153 +91,156 @@ set_property IODELAY_GROUP gmii2rgmii_iodelay_group\
|
|||
|
||||
# unused io (gpio/gt)
|
||||
|
||||
set_property -dict {PACKAGE_PIN AC14 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports fmc_clk0_p] ; ## IO_L13P_T2_MRCC_12
|
||||
set_property -dict {PACKAGE_PIN AD14 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports fmc_clk0_n] ; ## IO_L13N_T2_MRCC_12
|
||||
set_property -dict {PACKAGE_PIN AD20 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports fmc_clk1_p] ; ## IO_L13P_T2_MRCC_13
|
||||
set_property -dict {PACKAGE_PIN AD21 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports fmc_clk1_n] ; ## IO_L13N_T2_MRCC_13
|
||||
set_property -dict {PACKAGE_PIN AC14 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports clk_0_p] ; ## IO_L13P_T2_MRCC_12 (fmc_clk0_p)
|
||||
set_property -dict {PACKAGE_PIN AD14 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports clk_0_n] ; ## IO_L13N_T2_MRCC_12 (fmc_clk0_n)
|
||||
set_property -dict {PACKAGE_PIN AD20 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports clk_1_p] ; ## IO_L13P_T2_MRCC_13 (fmc_clk1_p)
|
||||
set_property -dict {PACKAGE_PIN AD21 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports clk_1_n] ; ## IO_L13N_T2_MRCC_13 (fmc_clk1_n)
|
||||
|
||||
set_property -dict {PACKAGE_PIN V18 IOSTANDARD LVCMOS25} [get_ports fmc_prstn] ; ## IO_25_13
|
||||
set_property -dict {PACKAGE_PIN AC13 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[0]] ; ## IO_L12P_T1_MRCC_12
|
||||
set_property -dict {PACKAGE_PIN AD13 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[0]] ; ## IO_L12N_T1_MRCC_12
|
||||
set_property -dict {PACKAGE_PIN AC12 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[1]] ; ## IO_L11P_T1_SRCC_12
|
||||
set_property -dict {PACKAGE_PIN AD11 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[1]] ; ## IO_L11N_T1_SRCC_12
|
||||
set_property -dict {PACKAGE_PIN Y12 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[2]] ; ## IO_L1P_T0_12
|
||||
set_property -dict {PACKAGE_PIN Y11 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[2]] ; ## IO_L1N_T0_12
|
||||
set_property -dict {PACKAGE_PIN AB12 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[3]] ; ## IO_L2P_T0_12
|
||||
set_property -dict {PACKAGE_PIN AC11 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[3]] ; ## IO_L2N_T0_12
|
||||
set_property -dict {PACKAGE_PIN Y10 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[4]] ; ## IO_L3P_T0_DQS_12
|
||||
set_property -dict {PACKAGE_PIN AA10 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[4]] ; ## IO_L3N_T0_DQS_12
|
||||
set_property -dict {PACKAGE_PIN AB11 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[5]] ; ## IO_L4P_T0_12
|
||||
set_property -dict {PACKAGE_PIN AB10 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[5]] ; ## IO_L4N_T0_12
|
||||
set_property -dict {PACKAGE_PIN W13 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[6]] ; ## IO_L5P_T0_12
|
||||
set_property -dict {PACKAGE_PIN Y13 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[6]] ; ## IO_L5N_T0_12
|
||||
set_property -dict {PACKAGE_PIN AA13 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[7]] ; ## IO_L6P_T0_12
|
||||
set_property -dict {PACKAGE_PIN AA12 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[7]] ; ## IO_L6N_T0_VREF_12
|
||||
set_property -dict {PACKAGE_PIN AE10 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[8]] ; ## IO_L7P_T1_12
|
||||
set_property -dict {PACKAGE_PIN AD10 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[8]] ; ## IO_L7N_T1_12
|
||||
set_property -dict {PACKAGE_PIN AE12 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[9]] ; ## IO_L8P_T1_12
|
||||
set_property -dict {PACKAGE_PIN AF12 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[9]] ; ## IO_L8N_T1_12
|
||||
set_property -dict {PACKAGE_PIN AE11 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[10]] ; ## IO_L9P_T1_DQS_12
|
||||
set_property -dict {PACKAGE_PIN AF10 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[10]] ; ## IO_L9N_T1_DQS_12
|
||||
set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[11]] ; ## IO_L10P_T1_12
|
||||
set_property -dict {PACKAGE_PIN AF13 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[11]] ; ## IO_L10N_T1_12
|
||||
set_property -dict {PACKAGE_PIN AB15 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[12]] ; ## IO_L14P_T2_SRCC_12
|
||||
set_property -dict {PACKAGE_PIN AB14 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[12]] ; ## IO_L14N_T2_SRCC_12
|
||||
set_property -dict {PACKAGE_PIN AD16 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[13]] ; ## IO_L15P_T2_DQS_12
|
||||
set_property -dict {PACKAGE_PIN AD15 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[13]] ; ## IO_L15N_T2_DQS_12
|
||||
set_property -dict {PACKAGE_PIN AF15 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[14]] ; ## IO_L16P_T2_12
|
||||
set_property -dict {PACKAGE_PIN AF14 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[14]] ; ## IO_L16N_T2_12
|
||||
set_property -dict {PACKAGE_PIN AE16 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[15]] ; ## IO_L17P_T2_12
|
||||
set_property -dict {PACKAGE_PIN AE15 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[15]] ; ## IO_L17N_T2_12
|
||||
set_property -dict {PACKAGE_PIN AE17 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[16]] ; ## IO_L18P_T2_12
|
||||
set_property -dict {PACKAGE_PIN AF17 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[16]] ; ## IO_L18N_T2_12
|
||||
set_property -dict {PACKAGE_PIN AC23 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[17]] ; ## IO_L12P_T1_MRCC_13
|
||||
set_property -dict {PACKAGE_PIN AC24 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[17]] ; ## IO_L12N_T1_MRCC_13
|
||||
set_property -dict {PACKAGE_PIN AD23 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[18]] ; ## IO_L11P_T1_SRCC_13
|
||||
set_property -dict {PACKAGE_PIN AD24 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[18]] ; ## IO_L11N_T1_SRCC_13
|
||||
set_property -dict {PACKAGE_PIN AA25 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[19]] ; ## IO_L1P_T0_13
|
||||
set_property -dict {PACKAGE_PIN AB25 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[19]] ; ## IO_L1N_T0_13
|
||||
set_property -dict {PACKAGE_PIN AB26 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[20]] ; ## IO_L2P_T0_13
|
||||
set_property -dict {PACKAGE_PIN AC26 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[20]] ; ## IO_L2N_T0_13
|
||||
set_property -dict {PACKAGE_PIN AE25 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[21]] ; ## IO_L3P_T0_DQS_13
|
||||
set_property -dict {PACKAGE_PIN AE26 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[21]] ; ## IO_L3N_T0_DQS_13
|
||||
set_property -dict {PACKAGE_PIN AD25 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[22]] ; ## IO_L4P_T0_13
|
||||
set_property -dict {PACKAGE_PIN AD26 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[22]] ; ## IO_L4N_T0_13
|
||||
set_property -dict {PACKAGE_PIN AA24 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[23]] ; ## IO_L6P_T0_13
|
||||
set_property -dict {PACKAGE_PIN AB24 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[23]] ; ## IO_L6N_T0_VREF_13
|
||||
set_property -dict {PACKAGE_PIN AE22 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[24]] ; ## IO_L7P_T1_13
|
||||
set_property -dict {PACKAGE_PIN AF22 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[24]] ; ## IO_L7N_T1_13
|
||||
set_property -dict {PACKAGE_PIN AE23 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[25]] ; ## IO_L8P_T1_13
|
||||
set_property -dict {PACKAGE_PIN AF23 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[25]] ; ## IO_L8N_T1_13
|
||||
set_property -dict {PACKAGE_PIN AB21 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[26]] ; ## IO_L9P_T1_DQS_13
|
||||
set_property -dict {PACKAGE_PIN AB22 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[26]] ; ## IO_L9N_T1_DQS_13
|
||||
set_property -dict {PACKAGE_PIN AA22 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[27]] ; ## IO_L10P_T1_13
|
||||
set_property -dict {PACKAGE_PIN AA23 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[27]] ; ## IO_L10N_T1_13
|
||||
set_property -dict {PACKAGE_PIN AC21 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[28]] ; ## IO_L14P_T2_SRCC_13
|
||||
set_property -dict {PACKAGE_PIN AC22 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[28]] ; ## IO_L14N_T2_SRCC_13
|
||||
set_property -dict {PACKAGE_PIN AF19 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[29]] ; ## IO_L15P_T2_DQS_13
|
||||
set_property -dict {PACKAGE_PIN AF20 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[29]] ; ## IO_L15N_T2_DQS_13
|
||||
set_property -dict {PACKAGE_PIN AE20 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[30]] ; ## IO_L16P_T2_13
|
||||
set_property -dict {PACKAGE_PIN AE21 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[30]] ; ## IO_L16N_T2_13
|
||||
set_property -dict {PACKAGE_PIN AD18 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[31]] ; ## IO_L17P_T2_13
|
||||
set_property -dict {PACKAGE_PIN AD19 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[31]] ; ## IO_L17N_T2_13
|
||||
set_property -dict {PACKAGE_PIN AE18 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[32]] ; ## IO_L18P_T2_13
|
||||
set_property -dict {PACKAGE_PIN AF18 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[32]] ; ## IO_L18N_T2_13
|
||||
set_property -dict {PACKAGE_PIN W20 IOSTANDARD LVCMOS25} [get_ports fmc_la_p[33]] ; ## IO_L19P_T3_13
|
||||
set_property -dict {PACKAGE_PIN Y20 IOSTANDARD LVCMOS25} [get_ports fmc_la_n[33]] ; ## IO_L19N_T3_VREF_13
|
||||
set_property -dict {PACKAGE_PIN AC18 IOSTANDARD LVCMOS25} [get_ports pmod0[0]] ; ## IO_L21P_T3_DQS_13
|
||||
set_property -dict {PACKAGE_PIN AC19 IOSTANDARD LVCMOS25} [get_ports pmod0[1]] ; ## IO_L21N_T3_DQS_13
|
||||
set_property -dict {PACKAGE_PIN AA19 IOSTANDARD LVCMOS25} [get_ports pmod0[2]] ; ## IO_L22P_T3_13
|
||||
set_property -dict {PACKAGE_PIN AB19 IOSTANDARD LVCMOS25} [get_ports pmod0[3]] ; ## IO_L22N_T3_13
|
||||
set_property -dict {PACKAGE_PIN W18 IOSTANDARD LVCMOS25} [get_ports pmod0[4]] ; ## IO_L23P_T3_13
|
||||
set_property -dict {PACKAGE_PIN W19 IOSTANDARD LVCMOS25} [get_ports pmod0[5]] ; ## IO_L23N_T3_13 (TDD_SYNC)
|
||||
set_property -dict {PACKAGE_PIN Y18 IOSTANDARD LVCMOS25} [get_ports pmod0[6]] ; ## IO_L24P_T3_13
|
||||
set_property -dict {PACKAGE_PIN AA18 IOSTANDARD LVCMOS25} [get_ports pmod0[7]] ; ## IO_L24N_T3_13
|
||||
set_property -dict {PACKAGE_PIN W6} [get_ports gt_ref_clk_0_p] ; ## MGTREFCLK0P_111 (fmc_gt_ref_clk_p)
|
||||
set_property -dict {PACKAGE_PIN W5} [get_ports gt_ref_clk_0_n] ; ## MGTREFCLK0N_111 (fmc_gt_ref_clk_n)
|
||||
set_property -dict {PACKAGE_PIN AF8} [get_ports gt_tx_0_p] ; ## MGTXTXP0_111 (fmc_gt_tx_p)
|
||||
set_property -dict {PACKAGE_PIN AF7} [get_ports gt_tx_0_n] ; ## MGTXTXN0_111 (fmc_gt_tx_n)
|
||||
set_property -dict {PACKAGE_PIN AD8} [get_ports gt_rx_0_p] ; ## MGTXRXP0_111 (fmc_gt_rx_p)
|
||||
set_property -dict {PACKAGE_PIN AD7} [get_ports gt_rx_0_n] ; ## MGTXRXN0_111 (fmc_gt_rx_n)
|
||||
|
||||
set_property -dict {PACKAGE_PIN W6} [get_ports fmc_gt_ref_clk_p] ; ## MGTREFCLK0P_111
|
||||
set_property -dict {PACKAGE_PIN W5} [get_ports fmc_gt_ref_clk_n] ; ## MGTREFCLK0N_111
|
||||
set_property -dict {PACKAGE_PIN AF8} [get_ports fmc_gt_tx_p] ; ## MGTXTXP0_111
|
||||
set_property -dict {PACKAGE_PIN AF7} [get_ports fmc_gt_tx_n] ; ## MGTXTXN0_111
|
||||
set_property -dict {PACKAGE_PIN AD8} [get_ports fmc_gt_rx_p] ; ## MGTXRXP0_111
|
||||
set_property -dict {PACKAGE_PIN AD7} [get_ports fmc_gt_rx_n] ; ## MGTXRXN0_111
|
||||
set_property -dict {PACKAGE_PIN V18 IOSTANDARD LVCMOS25} [get_ports gp_in_0] ; ## IO_25_13 (fmc_prstn)
|
||||
|
||||
set_property -dict {PACKAGE_PIN AA6} [get_ports ad9517_gt_ref_clk_p] ; ## MGTREFCLK1P_111
|
||||
set_property -dict {PACKAGE_PIN AA5} [get_ports ad9517_gt_ref_clk_n] ; ## MGTREFCLK1N_111
|
||||
set_property -dict {PACKAGE_PIN AF4} [get_ports sfp_gt_tx_p] ; ## MGTXTXP1_111
|
||||
set_property -dict {PACKAGE_PIN AF3} [get_ports sfp_gt_tx_n] ; ## MGTXTXN1_111
|
||||
set_property -dict {PACKAGE_PIN AE6} [get_ports sfp_gt_rx_p] ; ## MGTXRXP1_111
|
||||
set_property -dict {PACKAGE_PIN AE5} [get_ports sfp_gt_rx_n] ; ## MGTXRXN1_111
|
||||
set_property -dict {PACKAGE_PIN AC13 IOSTANDARD LVCMOS25} [get_ports gp_out[0]] ; ## IO_L12P_T1_MRCC_12 (fmc_la_p[ 0])
|
||||
set_property -dict {PACKAGE_PIN AD13 IOSTANDARD LVCMOS25} [get_ports gp_out[1]] ; ## IO_L12N_T1_MRCC_12 (fmc_la_n[ 0])
|
||||
set_property -dict {PACKAGE_PIN AC12 IOSTANDARD LVCMOS25} [get_ports gp_out[2]] ; ## IO_L11P_T1_SRCC_12 (fmc_la_p[ 1])
|
||||
set_property -dict {PACKAGE_PIN AD11 IOSTANDARD LVCMOS25} [get_ports gp_out[3]] ; ## IO_L11N_T1_SRCC_12 (fmc_la_n[ 1])
|
||||
set_property -dict {PACKAGE_PIN Y12 IOSTANDARD LVCMOS25} [get_ports gp_out[4]] ; ## IO_L1P_T0_12 (fmc_la_p[ 2])
|
||||
set_property -dict {PACKAGE_PIN Y11 IOSTANDARD LVCMOS25} [get_ports gp_out[5]] ; ## IO_L1N_T0_12 (fmc_la_n[ 2])
|
||||
set_property -dict {PACKAGE_PIN AB12 IOSTANDARD LVCMOS25} [get_ports gp_out[6]] ; ## IO_L2P_T0_12 (fmc_la_p[ 3])
|
||||
set_property -dict {PACKAGE_PIN AC11 IOSTANDARD LVCMOS25} [get_ports gp_out[7]] ; ## IO_L2N_T0_12 (fmc_la_n[ 3])
|
||||
set_property -dict {PACKAGE_PIN Y10 IOSTANDARD LVCMOS25} [get_ports gp_out[8]] ; ## IO_L3P_T0_DQS_12 (fmc_la_p[ 4])
|
||||
set_property -dict {PACKAGE_PIN AA10 IOSTANDARD LVCMOS25} [get_ports gp_out[9]] ; ## IO_L3N_T0_DQS_12 (fmc_la_n[ 4])
|
||||
set_property -dict {PACKAGE_PIN AB11 IOSTANDARD LVCMOS25} [get_ports gp_out[10]] ; ## IO_L4P_T0_12 (fmc_la_p[ 5])
|
||||
set_property -dict {PACKAGE_PIN AB10 IOSTANDARD LVCMOS25} [get_ports gp_out[11]] ; ## IO_L4N_T0_12 (fmc_la_n[ 5])
|
||||
set_property -dict {PACKAGE_PIN W13 IOSTANDARD LVCMOS25} [get_ports gp_out[12]] ; ## IO_L5P_T0_12 (fmc_la_p[ 6])
|
||||
set_property -dict {PACKAGE_PIN Y13 IOSTANDARD LVCMOS25} [get_ports gp_out[13]] ; ## IO_L5N_T0_12 (fmc_la_n[ 6])
|
||||
set_property -dict {PACKAGE_PIN AA13 IOSTANDARD LVCMOS25} [get_ports gp_out[14]] ; ## IO_L6P_T0_12 (fmc_la_p[ 7])
|
||||
set_property -dict {PACKAGE_PIN AA12 IOSTANDARD LVCMOS25} [get_ports gp_out[15]] ; ## IO_L6N_T0_VREF_12 (fmc_la_n[ 7])
|
||||
set_property -dict {PACKAGE_PIN AE10 IOSTANDARD LVCMOS25} [get_ports gp_out[16]] ; ## IO_L7P_T1_12 (fmc_la_p[ 8])
|
||||
set_property -dict {PACKAGE_PIN AD10 IOSTANDARD LVCMOS25} [get_ports gp_out[17]] ; ## IO_L7N_T1_12 (fmc_la_n[ 8])
|
||||
set_property -dict {PACKAGE_PIN AE12 IOSTANDARD LVCMOS25} [get_ports gp_out[18]] ; ## IO_L8P_T1_12 (fmc_la_p[ 9])
|
||||
set_property -dict {PACKAGE_PIN AF12 IOSTANDARD LVCMOS25} [get_ports gp_out[19]] ; ## IO_L8N_T1_12 (fmc_la_n[ 9])
|
||||
set_property -dict {PACKAGE_PIN AE11 IOSTANDARD LVCMOS25} [get_ports gp_out[20]] ; ## IO_L9P_T1_DQS_12 (fmc_la_p[10])
|
||||
set_property -dict {PACKAGE_PIN AF10 IOSTANDARD LVCMOS25} [get_ports gp_out[21]] ; ## IO_L9N_T1_DQS_12 (fmc_la_n[10])
|
||||
set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVCMOS25} [get_ports gp_out[22]] ; ## IO_L10P_T1_12 (fmc_la_p[11])
|
||||
set_property -dict {PACKAGE_PIN AF13 IOSTANDARD LVCMOS25} [get_ports gp_out[23]] ; ## IO_L10N_T1_12 (fmc_la_n[11])
|
||||
set_property -dict {PACKAGE_PIN AB15 IOSTANDARD LVCMOS25} [get_ports gp_out[24]] ; ## IO_L14P_T2_SRCC_12 (fmc_la_p[12])
|
||||
set_property -dict {PACKAGE_PIN AB14 IOSTANDARD LVCMOS25} [get_ports gp_out[25]] ; ## IO_L14N_T2_SRCC_12 (fmc_la_n[12])
|
||||
set_property -dict {PACKAGE_PIN AD16 IOSTANDARD LVCMOS25} [get_ports gp_out[26]] ; ## IO_L15P_T2_DQS_12 (fmc_la_p[13])
|
||||
set_property -dict {PACKAGE_PIN AD15 IOSTANDARD LVCMOS25} [get_ports gp_out[27]] ; ## IO_L15N_T2_DQS_12 (fmc_la_n[13])
|
||||
set_property -dict {PACKAGE_PIN AF15 IOSTANDARD LVCMOS25} [get_ports gp_out[28]] ; ## IO_L16P_T2_12 (fmc_la_p[14])
|
||||
set_property -dict {PACKAGE_PIN AF14 IOSTANDARD LVCMOS25} [get_ports gp_out[29]] ; ## IO_L16N_T2_12 (fmc_la_n[14])
|
||||
set_property -dict {PACKAGE_PIN AE16 IOSTANDARD LVCMOS25} [get_ports gp_out[30]] ; ## IO_L17P_T2_12 (fmc_la_p[15])
|
||||
set_property -dict {PACKAGE_PIN AE15 IOSTANDARD LVCMOS25} [get_ports gp_out[31]] ; ## IO_L17N_T2_12 (fmc_la_n[15])
|
||||
set_property -dict {PACKAGE_PIN AE17 IOSTANDARD LVCMOS25} [get_ports gp_out[32]] ; ## IO_L18P_T2_12 (fmc_la_p[16])
|
||||
set_property -dict {PACKAGE_PIN AF17 IOSTANDARD LVCMOS25} [get_ports gp_out[33]] ; ## IO_L18N_T2_12 (fmc_la_n[16])
|
||||
|
||||
set_property -dict {PACKAGE_PIN M6 IOSTANDARD LVCMOS18} [get_ports cam_gpio[0]] ; ## IO_L13P_T2_MRCC_33
|
||||
set_property -dict {PACKAGE_PIN M5 IOSTANDARD LVCMOS18} [get_ports cam_gpio[1]] ; ## IO_L13N_T2_MRCC_33
|
||||
set_property -dict {PACKAGE_PIN L5 IOSTANDARD LVCMOS18} [get_ports cam_gpio[2]] ; ## IO_L14P_T2_SRCC_33
|
||||
set_property -dict {PACKAGE_PIN L4 IOSTANDARD LVCMOS18} [get_ports cam_gpio[3]] ; ## IO_L14N_T2_SRCC_33
|
||||
set_property -dict {PACKAGE_PIN N3 IOSTANDARD LVCMOS18} [get_ports cam_gpio[4]] ; ## IO_L15P_T2_DQS_33
|
||||
set_property -dict {PACKAGE_PIN N2 IOSTANDARD LVCMOS18} [get_ports cam_gpio[5]] ; ## IO_L15N_T2_DQS_33
|
||||
set_property -dict {PACKAGE_PIN M2 IOSTANDARD LVCMOS18} [get_ports cam_gpio[6]] ; ## IO_L16P_T2_33
|
||||
set_property -dict {PACKAGE_PIN L2 IOSTANDARD LVCMOS18} [get_ports cam_gpio[7]] ; ## IO_L16N_T2_33
|
||||
set_property -dict {PACKAGE_PIN N4 IOSTANDARD LVCMOS18} [get_ports cam_gpio[8]] ; ## IO_L17P_T2_33
|
||||
set_property -dict {PACKAGE_PIN M4 IOSTANDARD LVCMOS18} [get_ports cam_gpio[9]] ; ## IO_L17N_T2_33
|
||||
set_property -dict {PACKAGE_PIN N1 IOSTANDARD LVCMOS18} [get_ports cam_gpio[10]] ; ## IO_L18P_T2_33
|
||||
set_property -dict {PACKAGE_PIN M1 IOSTANDARD LVCMOS18} [get_ports cam_gpio[11]] ; ## IO_L18N_T2_33
|
||||
set_property -dict {PACKAGE_PIN M7 IOSTANDARD LVCMOS18} [get_ports cam_gpio[12]] ; ## IO_L19P_T3_33
|
||||
set_property -dict {PACKAGE_PIN L7 IOSTANDARD LVCMOS18} [get_ports cam_gpio[13]] ; ## IO_L19N_T3_VREF_33
|
||||
set_property -dict {PACKAGE_PIN K5 IOSTANDARD LVCMOS18} [get_ports cam_gpio[14]] ; ## IO_L20P_T3_33
|
||||
set_property -dict {PACKAGE_PIN J5 IOSTANDARD LVCMOS18} [get_ports cam_gpio[15]] ; ## IO_L20N_T3_33
|
||||
set_property -dict {PACKAGE_PIN M8 IOSTANDARD LVCMOS18} [get_ports cam_gpio[16]] ; ## IO_L21P_T3_DQS_33
|
||||
set_property -dict {PACKAGE_PIN L8 IOSTANDARD LVCMOS18} [get_ports cam_gpio[17]] ; ## IO_L21N_T3_DQS_33
|
||||
set_property -dict {PACKAGE_PIN K6 IOSTANDARD LVCMOS18} [get_ports cam_gpio[18]] ; ## IO_L22P_T3_33
|
||||
set_property -dict {PACKAGE_PIN J6 IOSTANDARD LVCMOS18} [get_ports cam_gpio[19]] ; ## IO_L22N_T3_33
|
||||
set_property -dict {PACKAGE_PIN N7 IOSTANDARD LVCMOS18} [get_ports cam_gpio[20]] ; ## IO_L23P_T3_33
|
||||
set_property -dict {PACKAGE_PIN N6 IOSTANDARD LVCMOS18} [get_ports cam_gpio[21]] ; ## IO_L23N_T3_33
|
||||
set_property -dict {PACKAGE_PIN K8 IOSTANDARD LVCMOS18} [get_ports cam_gpio[22]] ; ## IO_L24P_T3_33
|
||||
set_property -dict {PACKAGE_PIN K7 IOSTANDARD LVCMOS18} [get_ports cam_gpio[23]] ; ## IO_L24N_T3_33
|
||||
set_property -dict {PACKAGE_PIN J11 IOSTANDARD LVCMOS18} [get_ports cam_gpio[24]] ; ## IO_L1P_T0_34
|
||||
set_property -dict {PACKAGE_PIN H11 IOSTANDARD LVCMOS18} [get_ports cam_gpio[25]] ; ## IO_L1N_T0_34
|
||||
set_property -dict {PACKAGE_PIN G6 IOSTANDARD LVCMOS18} [get_ports cam_gpio[26]] ; ## IO_L2P_T0_34
|
||||
set_property -dict {PACKAGE_PIN G5 IOSTANDARD LVCMOS18} [get_ports cam_gpio[27]] ; ## IO_L2N_T0_34
|
||||
set_property -dict {PACKAGE_PIN H9 IOSTANDARD LVCMOS18} [get_ports cam_gpio[28]] ; ## IO_L3P_T0_DQS_PUDC_B_34
|
||||
set_property -dict {PACKAGE_PIN G9 IOSTANDARD LVCMOS18} [get_ports cam_gpio[29]] ; ## IO_L3N_T0_DQS_34
|
||||
set_property -dict {PACKAGE_PIN H7 IOSTANDARD LVCMOS18} [get_ports cam_gpio[30]] ; ## IO_L4P_T0_34
|
||||
set_property -dict {PACKAGE_PIN H6 IOSTANDARD LVCMOS18} [get_ports cam_gpio[31]] ; ## IO_L4N_T0_34
|
||||
set_property -dict {PACKAGE_PIN J10 IOSTANDARD LVCMOS18} [get_ports cam_gpio[32]] ; ## IO_L5P_T0_34
|
||||
set_property -dict {PACKAGE_PIN J4 IOSTANDARD LVCMOS18} [get_ports cam_gpio[33]] ; ## IO_L12P_T1_MRCC_33
|
||||
set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVCMOS25} [get_ports sfp_gpio[0]] ; ## IO_L19P_T3_12
|
||||
set_property -dict {PACKAGE_PIN AA17 IOSTANDARD LVCMOS25} [get_ports sfp_gpio[1]] ; ## IO_L19N_T3_VREF_12
|
||||
set_property -dict {PACKAGE_PIN AB17 IOSTANDARD LVCMOS25} [get_ports sfp_gpio[2]] ; ## IO_L20P_T3_12
|
||||
set_property -dict {PACKAGE_PIN AB16 IOSTANDARD LVCMOS25} [get_ports sfp_gpio[3]] ; ## IO_L20N_T3_12
|
||||
set_property -dict {PACKAGE_PIN AC17 IOSTANDARD LVCMOS25} [get_ports sfp_gpio[4]] ; ## IO_L21P_T3_DQS_12
|
||||
set_property -dict {PACKAGE_PIN AC16 IOSTANDARD LVCMOS25} [get_ports sfp_gpio[5]] ; ## IO_L21N_T3_DQS_12
|
||||
set_property -dict {PACKAGE_PIN AA15 IOSTANDARD LVCMOS25} [get_ports sfp_gpio[6]] ; ## IO_L22P_T3_12
|
||||
set_property -dict {PACKAGE_PIN AC23 IOSTANDARD LVCMOS25} [get_ports gp_in[0]] ; ## IO_L12P_T1_MRCC_13 (fmc_la_p[17])
|
||||
set_property -dict {PACKAGE_PIN AC24 IOSTANDARD LVCMOS25} [get_ports gp_in[1]] ; ## IO_L12N_T1_MRCC_13 (fmc_la_n[17])
|
||||
set_property -dict {PACKAGE_PIN AD23 IOSTANDARD LVCMOS25} [get_ports gp_in[2]] ; ## IO_L11P_T1_SRCC_13 (fmc_la_p[18])
|
||||
set_property -dict {PACKAGE_PIN AD24 IOSTANDARD LVCMOS25} [get_ports gp_in[3]] ; ## IO_L11N_T1_SRCC_13 (fmc_la_n[18])
|
||||
set_property -dict {PACKAGE_PIN AA25 IOSTANDARD LVCMOS25} [get_ports gp_in[4]] ; ## IO_L1P_T0_13 (fmc_la_p[19])
|
||||
set_property -dict {PACKAGE_PIN AB25 IOSTANDARD LVCMOS25} [get_ports gp_in[5]] ; ## IO_L1N_T0_13 (fmc_la_n[19])
|
||||
set_property -dict {PACKAGE_PIN AB26 IOSTANDARD LVCMOS25} [get_ports gp_in[6]] ; ## IO_L2P_T0_13 (fmc_la_p[20])
|
||||
set_property -dict {PACKAGE_PIN AC26 IOSTANDARD LVCMOS25} [get_ports gp_in[7]] ; ## IO_L2N_T0_13 (fmc_la_n[20])
|
||||
set_property -dict {PACKAGE_PIN AE25 IOSTANDARD LVCMOS25} [get_ports gp_in[8]] ; ## IO_L3P_T0_DQS_13 (fmc_la_p[21])
|
||||
set_property -dict {PACKAGE_PIN AE26 IOSTANDARD LVCMOS25} [get_ports gp_in[9]] ; ## IO_L3N_T0_DQS_13 (fmc_la_n[21])
|
||||
set_property -dict {PACKAGE_PIN AD25 IOSTANDARD LVCMOS25} [get_ports gp_in[10]] ; ## IO_L4P_T0_13 (fmc_la_p[22])
|
||||
set_property -dict {PACKAGE_PIN AD26 IOSTANDARD LVCMOS25} [get_ports gp_in[11]] ; ## IO_L4N_T0_13 (fmc_la_n[22])
|
||||
set_property -dict {PACKAGE_PIN AA24 IOSTANDARD LVCMOS25} [get_ports gp_in[12]] ; ## IO_L6P_T0_13 (fmc_la_p[23])
|
||||
set_property -dict {PACKAGE_PIN AB24 IOSTANDARD LVCMOS25} [get_ports gp_in[13]] ; ## IO_L6N_T0_VREF_13 (fmc_la_n[23])
|
||||
set_property -dict {PACKAGE_PIN AE22 IOSTANDARD LVCMOS25} [get_ports gp_in[14]] ; ## IO_L7P_T1_13 (fmc_la_p[24])
|
||||
set_property -dict {PACKAGE_PIN AF22 IOSTANDARD LVCMOS25} [get_ports gp_in[15]] ; ## IO_L7N_T1_13 (fmc_la_n[24])
|
||||
set_property -dict {PACKAGE_PIN AE23 IOSTANDARD LVCMOS25} [get_ports gp_in[16]] ; ## IO_L8P_T1_13 (fmc_la_p[25])
|
||||
set_property -dict {PACKAGE_PIN AF23 IOSTANDARD LVCMOS25} [get_ports gp_in[17]] ; ## IO_L8N_T1_13 (fmc_la_n[25])
|
||||
set_property -dict {PACKAGE_PIN AB21 IOSTANDARD LVCMOS25} [get_ports gp_in[18]] ; ## IO_L9P_T1_DQS_13 (fmc_la_p[26])
|
||||
set_property -dict {PACKAGE_PIN AB22 IOSTANDARD LVCMOS25} [get_ports gp_in[19]] ; ## IO_L9N_T1_DQS_13 (fmc_la_n[26])
|
||||
set_property -dict {PACKAGE_PIN AA22 IOSTANDARD LVCMOS25} [get_ports gp_in[20]] ; ## IO_L10P_T1_13 (fmc_la_p[27])
|
||||
set_property -dict {PACKAGE_PIN AA23 IOSTANDARD LVCMOS25} [get_ports gp_in[21]] ; ## IO_L10N_T1_13 (fmc_la_n[27])
|
||||
set_property -dict {PACKAGE_PIN AC21 IOSTANDARD LVCMOS25} [get_ports gp_in[22]] ; ## IO_L14P_T2_SRCC_13 (fmc_la_p[28])
|
||||
set_property -dict {PACKAGE_PIN AC22 IOSTANDARD LVCMOS25} [get_ports gp_in[23]] ; ## IO_L14N_T2_SRCC_13 (fmc_la_n[28])
|
||||
set_property -dict {PACKAGE_PIN AF19 IOSTANDARD LVCMOS25} [get_ports gp_in[24]] ; ## IO_L15P_T2_DQS_13 (fmc_la_p[29])
|
||||
set_property -dict {PACKAGE_PIN AF20 IOSTANDARD LVCMOS25} [get_ports gp_in[25]] ; ## IO_L15N_T2_DQS_13 (fmc_la_n[29])
|
||||
set_property -dict {PACKAGE_PIN AE20 IOSTANDARD LVCMOS25} [get_ports gp_in[26]] ; ## IO_L16P_T2_13 (fmc_la_p[30])
|
||||
set_property -dict {PACKAGE_PIN AE21 IOSTANDARD LVCMOS25} [get_ports gp_in[27]] ; ## IO_L16N_T2_13 (fmc_la_n[30])
|
||||
set_property -dict {PACKAGE_PIN AD18 IOSTANDARD LVCMOS25} [get_ports gp_in[28]] ; ## IO_L17P_T2_13 (fmc_la_p[31])
|
||||
set_property -dict {PACKAGE_PIN AD19 IOSTANDARD LVCMOS25} [get_ports gp_in[29]] ; ## IO_L17N_T2_13 (fmc_la_n[31])
|
||||
set_property -dict {PACKAGE_PIN AE18 IOSTANDARD LVCMOS25} [get_ports gp_in[30]] ; ## IO_L18P_T2_13 (fmc_la_p[32])
|
||||
set_property -dict {PACKAGE_PIN AF18 IOSTANDARD LVCMOS25} [get_ports gp_in[31]] ; ## IO_L18N_T2_13 (fmc_la_n[32])
|
||||
set_property -dict {PACKAGE_PIN W20 IOSTANDARD LVCMOS25} [get_ports gp_in[32]] ; ## IO_L19P_T3_13 (fmc_la_p[33])
|
||||
set_property -dict {PACKAGE_PIN Y20 IOSTANDARD LVCMOS25} [get_ports gp_in[33]] ; ## IO_L19N_T3_VREF_13 (fmc_la_n[33])
|
||||
|
||||
set_property -dict {PACKAGE_PIN AC18 IOSTANDARD LVCMOS25} [get_ports gp_out[34]] ; ## IO_L21P_T3_DQS_13 (pmod0[0])
|
||||
set_property -dict {PACKAGE_PIN AC19 IOSTANDARD LVCMOS25} [get_ports gp_inout_0] ; ## IO_L21N_T3_DQS_13 (pmod0[1])
|
||||
set_property -dict {PACKAGE_PIN AA19 IOSTANDARD LVCMOS25} [get_ports gp_out[35]] ; ## IO_L22P_T3_13 (pmod0[2])
|
||||
set_property -dict {PACKAGE_PIN AB19 IOSTANDARD LVCMOS25} [get_ports gp_out[36]] ; ## IO_L22N_T3_13 (pmod0[3])
|
||||
set_property -dict {PACKAGE_PIN W18 IOSTANDARD LVCMOS25} [get_ports gp_in[34]] ; ## IO_L23P_T3_13 (pmod0[4])
|
||||
set_property -dict {PACKAGE_PIN W19 IOSTANDARD LVCMOS25} [get_ports gp_inout_1] ; ## IO_L23N_T3_13 (pmod0[5]) + (TDD_SYNC)
|
||||
set_property -dict {PACKAGE_PIN Y18 IOSTANDARD LVCMOS25} [get_ports gp_in[35]] ; ## IO_L24P_T3_13 (pmod0[6])
|
||||
set_property -dict {PACKAGE_PIN AA18 IOSTANDARD LVCMOS25} [get_ports gp_in[36]] ; ## IO_L24N_T3_13 (pmod0[7])
|
||||
|
||||
set_property -dict {PACKAGE_PIN AA6} [get_ports gt_ref_clk_1_p] ; ## MGTREFCLK1P_111 (ad9517_gt_ref_clk_p)
|
||||
set_property -dict {PACKAGE_PIN AA5} [get_ports gt_ref_clk_1_n] ; ## MGTREFCLK1N_111 (ad9517_gt_ref_clk_n)
|
||||
set_property -dict {PACKAGE_PIN AF4} [get_ports gt_tx_1_p] ; ## MGTXTXP1_111 (sfp_gt_tx_p)
|
||||
set_property -dict {PACKAGE_PIN AF3} [get_ports gt_tx_1_n] ; ## MGTXTXN1_111 (sfp_gt_tx_n)
|
||||
set_property -dict {PACKAGE_PIN AE6} [get_ports gt_rx_1_p] ; ## MGTXRXP1_111 (sfp_gt_rx_p)
|
||||
set_property -dict {PACKAGE_PIN AE5} [get_ports gt_rx_1_n] ; ## MGTXRXN1_111 (sfp_gt_rx_n)
|
||||
|
||||
set_property -dict {PACKAGE_PIN M6 IOSTANDARD LVCMOS18} [get_ports gp_out[37]] ; ## IO_L13P_T2_MRCC_33 (cam_gpio[ 0])
|
||||
set_property -dict {PACKAGE_PIN M5 IOSTANDARD LVCMOS18} [get_ports gp_out[38]] ; ## IO_L13N_T2_MRCC_33 (cam_gpio[ 1])
|
||||
set_property -dict {PACKAGE_PIN L5 IOSTANDARD LVCMOS18} [get_ports gp_out[39]] ; ## IO_L14P_T2_SRCC_33 (cam_gpio[ 2])
|
||||
set_property -dict {PACKAGE_PIN L4 IOSTANDARD LVCMOS18} [get_ports gp_out[40]] ; ## IO_L14N_T2_SRCC_33 (cam_gpio[ 3])
|
||||
set_property -dict {PACKAGE_PIN N3 IOSTANDARD LVCMOS18} [get_ports gp_out[41]] ; ## IO_L15P_T2_DQS_33 (cam_gpio[ 4])
|
||||
set_property -dict {PACKAGE_PIN N2 IOSTANDARD LVCMOS18} [get_ports gp_out[42]] ; ## IO_L15N_T2_DQS_33 (cam_gpio[ 5])
|
||||
set_property -dict {PACKAGE_PIN M2 IOSTANDARD LVCMOS18} [get_ports gp_out[43]] ; ## IO_L16P_T2_33 (cam_gpio[ 6])
|
||||
set_property -dict {PACKAGE_PIN L2 IOSTANDARD LVCMOS18} [get_ports gp_out[44]] ; ## IO_L16N_T2_33 (cam_gpio[ 7])
|
||||
set_property -dict {PACKAGE_PIN N4 IOSTANDARD LVCMOS18} [get_ports gp_out[45]] ; ## IO_L17P_T2_33 (cam_gpio[ 8])
|
||||
set_property -dict {PACKAGE_PIN M4 IOSTANDARD LVCMOS18} [get_ports gp_out[46]] ; ## IO_L17N_T2_33 (cam_gpio[ 9])
|
||||
set_property -dict {PACKAGE_PIN N1 IOSTANDARD LVCMOS18} [get_ports gp_out[47]] ; ## IO_L18P_T2_33 (cam_gpio[10])
|
||||
set_property -dict {PACKAGE_PIN M1 IOSTANDARD LVCMOS18} [get_ports gp_out[48]] ; ## IO_L18N_T2_33 (cam_gpio[11])
|
||||
set_property -dict {PACKAGE_PIN M7 IOSTANDARD LVCMOS18} [get_ports gp_out[49]] ; ## IO_L19P_T3_33 (cam_gpio[12])
|
||||
set_property -dict {PACKAGE_PIN L7 IOSTANDARD LVCMOS18} [get_ports gp_out[50]] ; ## IO_L19N_T3_VREF_33 (cam_gpio[13])
|
||||
set_property -dict {PACKAGE_PIN K5 IOSTANDARD LVCMOS18} [get_ports gp_out[51]] ; ## IO_L20P_T3_33 (cam_gpio[14])
|
||||
set_property -dict {PACKAGE_PIN J5 IOSTANDARD LVCMOS18} [get_ports gp_out[52]] ; ## IO_L20N_T3_33 (cam_gpio[15])
|
||||
set_property -dict {PACKAGE_PIN M8 IOSTANDARD LVCMOS18} [get_ports gp_out[53]] ; ## IO_L21P_T3_DQS_33 (cam_gpio[16])
|
||||
set_property -dict {PACKAGE_PIN L8 IOSTANDARD LVCMOS18} [get_ports gp_in[37]] ; ## IO_L21N_T3_DQS_33 (cam_gpio[17])
|
||||
set_property -dict {PACKAGE_PIN K6 IOSTANDARD LVCMOS18} [get_ports gp_in[38]] ; ## IO_L22P_T3_33 (cam_gpio[18])
|
||||
set_property -dict {PACKAGE_PIN J6 IOSTANDARD LVCMOS18} [get_ports gp_in[39]] ; ## IO_L22N_T3_33 (cam_gpio[19])
|
||||
set_property -dict {PACKAGE_PIN N7 IOSTANDARD LVCMOS18} [get_ports gp_in[40]] ; ## IO_L23P_T3_33 (cam_gpio[20])
|
||||
set_property -dict {PACKAGE_PIN N6 IOSTANDARD LVCMOS18} [get_ports gp_in[41]] ; ## IO_L23N_T3_33 (cam_gpio[21])
|
||||
set_property -dict {PACKAGE_PIN K8 IOSTANDARD LVCMOS18} [get_ports gp_in[42]] ; ## IO_L24P_T3_33 (cam_gpio[22])
|
||||
set_property -dict {PACKAGE_PIN K7 IOSTANDARD LVCMOS18} [get_ports gp_in[43]] ; ## IO_L24N_T3_33 (cam_gpio[23])
|
||||
set_property -dict {PACKAGE_PIN J11 IOSTANDARD LVCMOS18} [get_ports gp_in[44]] ; ## IO_L1P_T0_34 (cam_gpio[24])
|
||||
set_property -dict {PACKAGE_PIN H11 IOSTANDARD LVCMOS18} [get_ports gp_in[45]] ; ## IO_L1N_T0_34 (cam_gpio[25])
|
||||
set_property -dict {PACKAGE_PIN G6 IOSTANDARD LVCMOS18} [get_ports gp_in[46]] ; ## IO_L2P_T0_34 (cam_gpio[26])
|
||||
set_property -dict {PACKAGE_PIN G5 IOSTANDARD LVCMOS18} [get_ports gp_in[47]] ; ## IO_L2N_T0_34 (cam_gpio[27])
|
||||
set_property -dict {PACKAGE_PIN H9 IOSTANDARD LVCMOS18} [get_ports gp_in[48]] ; ## IO_L3P_T0_DQS_PUDC_B_34 (cam_gpio[28])
|
||||
set_property -dict {PACKAGE_PIN G9 IOSTANDARD LVCMOS18} [get_ports gp_in[49]] ; ## IO_L3N_T0_DQS_34 (cam_gpio[29])
|
||||
set_property -dict {PACKAGE_PIN H7 IOSTANDARD LVCMOS18} [get_ports gp_in[50]] ; ## IO_L4P_T0_34 (cam_gpio[30])
|
||||
set_property -dict {PACKAGE_PIN H6 IOSTANDARD LVCMOS18} [get_ports gp_in[51]] ; ## IO_L4N_T0_34 (cam_gpio[31])
|
||||
set_property -dict {PACKAGE_PIN J10 IOSTANDARD LVCMOS18} [get_ports gp_in[52]] ; ## IO_L5P_T0_34 (cam_gpio[32])
|
||||
set_property -dict {PACKAGE_PIN J4 IOSTANDARD LVCMOS18} [get_ports gp_in[53]] ; ## IO_L12P_T1_MRCC_33 (cam_gpio[33])
|
||||
|
||||
set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVCMOS25} [get_ports gp_out[54]] ; ## IO_L19P_T3_12 (sfp_gpio[0])
|
||||
set_property -dict {PACKAGE_PIN AA17 IOSTANDARD LVCMOS25} [get_ports gp_out[55]] ; ## IO_L19N_T3_VREF_12 (sfp_gpio[1])
|
||||
set_property -dict {PACKAGE_PIN AB17 IOSTANDARD LVCMOS25} [get_ports gp_out[56]] ; ## IO_L20P_T3_12 (sfp_gpio[2])
|
||||
set_property -dict {PACKAGE_PIN AB16 IOSTANDARD LVCMOS25} [get_ports gp_out_0] ; ## IO_L20N_T3_12 (sfp_gpio[3])
|
||||
set_property -dict {PACKAGE_PIN AC17 IOSTANDARD LVCMOS25} [get_ports gp_in[54]] ; ## IO_L21P_T3_DQS_12 (sfp_gpio[4])
|
||||
set_property -dict {PACKAGE_PIN AC16 IOSTANDARD LVCMOS25} [get_ports gp_in[55]] ; ## IO_L21N_T3_DQS_12 (sfp_gpio[5])
|
||||
set_property -dict {PACKAGE_PIN AA15 IOSTANDARD LVCMOS25} [get_ports gp_in[56]] ; ## IO_L22P_T3_12 (sfp_gpio[6])
|
||||
|
||||
# clocks
|
||||
|
||||
create_clock -name ref_clk -period 4.00 [get_ports fmc_gt_ref_clk_p]
|
||||
create_clock -name tx_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_pzslb_gt/inst/g_lane_1[0].i_channel/i_gt/i_gtxe2_channel/TXOUTCLK]
|
||||
create_clock -name rx_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_pzslb_gt/inst/g_lane_1[0].i_channel/i_gt/i_gtxe2_channel/RXOUTCLK]
|
||||
|
||||
create_clock -name ref_clk -period 4.00 [get_ports fmc_gt_ref_clk_p]
|
||||
create_clock -name tx_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_pzslb_gt/inst/g_lane_1[0].i_channel/i_gt/i_gtxe2_channel/TXOUTCLK]
|
||||
create_clock -name rx_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_pzslb_gt/inst/g_lane_1[0].i_channel/i_gt/i_gtxe2_channel/RXOUTCLK]
|
||||
create_clock -name ref_clk_0 -period 4.00 [get_ports gt_ref_clk_0_p]
|
||||
create_clock -name ref_clk_1 -period 4.00 [get_ports gt_ref_clk_1_p]
|
||||
create_clock -name tx_div_clk_0 -period 8.00 [get_pins i_system_wrapper/system_i/axi_pzslb_gt/inst/g_lane_1[0].i_channel/i_gt/i_gtxe2_channel/TXOUTCLK]
|
||||
create_clock -name rx_div_clk_0 -period 8.00 [get_pins i_system_wrapper/system_i/axi_pzslb_gt/inst/g_lane_1[0].i_channel/i_gt/i_gtxe2_channel/RXOUTCLK]
|
||||
create_clock -name tx_div_clk_1 -period 8.00 [get_pins i_system_wrapper/system_i/axi_pzslb_gt/inst/g_lane_1[1].i_channel/i_gt/i_gtxe2_channel/TXOUTCLK]
|
||||
create_clock -name rx_div_clk_1 -period 8.00 [get_pins i_system_wrapper/system_i/axi_pzslb_gt/inst/g_lane_1[1].i_channel/i_gt/i_gtxe2_channel/RXOUTCLK]
|
||||
|
||||
|
||||
|
|
|
@ -93,29 +93,29 @@ module system_top (
|
|||
|
||||
gpio_bd,
|
||||
|
||||
fmc_prstn,
|
||||
fmc_clk0_p,
|
||||
fmc_clk0_n,
|
||||
fmc_clk1_p,
|
||||
fmc_clk1_n,
|
||||
fmc_la_p,
|
||||
fmc_la_n,
|
||||
pmod0,
|
||||
cam_gpio,
|
||||
sfp_gpio,
|
||||
clk_0_p,
|
||||
clk_0_n,
|
||||
clk_1_p,
|
||||
clk_1_n,
|
||||
gp_in_0,
|
||||
gp_out_0,
|
||||
gp_inout_0,
|
||||
gp_inout_1,
|
||||
gp_out,
|
||||
gp_in,
|
||||
|
||||
fmc_gt_ref_clk_p,
|
||||
fmc_gt_ref_clk_n,
|
||||
fmc_gt_tx_p,
|
||||
fmc_gt_tx_n,
|
||||
fmc_gt_rx_p,
|
||||
fmc_gt_rx_n,
|
||||
ad9517_gt_ref_clk_p,
|
||||
ad9517_gt_ref_clk_n,
|
||||
sfp_gt_tx_p,
|
||||
sfp_gt_tx_n,
|
||||
sfp_gt_rx_p,
|
||||
sfp_gt_rx_n,
|
||||
gt_ref_clk_0_p,
|
||||
gt_ref_clk_0_n,
|
||||
gt_ref_clk_1_p,
|
||||
gt_ref_clk_1_n,
|
||||
gt_tx_0_p,
|
||||
gt_tx_0_n,
|
||||
gt_rx_0_p,
|
||||
gt_rx_0_n,
|
||||
gt_tx_1_p,
|
||||
gt_tx_1_n,
|
||||
gt_rx_1_p,
|
||||
gt_rx_1_n,
|
||||
|
||||
ad9517_csn,
|
||||
ad9517_clk,
|
||||
|
@ -214,29 +214,29 @@ module system_top (
|
|||
|
||||
inout [11:0] gpio_bd;
|
||||
|
||||
input fmc_prstn;
|
||||
input fmc_clk0_p;
|
||||
input fmc_clk0_n;
|
||||
input fmc_clk1_p;
|
||||
input fmc_clk1_n;
|
||||
inout [33:0] fmc_la_p;
|
||||
inout [33:0] fmc_la_n;
|
||||
inout [ 7:0] pmod0;
|
||||
input [33:0] cam_gpio;
|
||||
input [ 6:0] sfp_gpio;
|
||||
input clk_0_p;
|
||||
input clk_0_n;
|
||||
input clk_1_p;
|
||||
input clk_1_n;
|
||||
input gp_in_0;
|
||||
output gp_out_0;
|
||||
inout gp_inout_0;
|
||||
inout gp_inout_1;
|
||||
output [56:0] gp_out;
|
||||
input [56:0] gp_in;
|
||||
|
||||
input fmc_gt_ref_clk_p;
|
||||
input fmc_gt_ref_clk_n;
|
||||
output fmc_gt_tx_p;
|
||||
output fmc_gt_tx_n;
|
||||
input fmc_gt_rx_p;
|
||||
input fmc_gt_rx_n;
|
||||
input ad9517_gt_ref_clk_p;
|
||||
input ad9517_gt_ref_clk_n;
|
||||
output sfp_gt_tx_p;
|
||||
output sfp_gt_tx_n;
|
||||
input sfp_gt_rx_p;
|
||||
input sfp_gt_rx_n;
|
||||
input gt_ref_clk_0_p;
|
||||
input gt_ref_clk_0_n;
|
||||
input gt_ref_clk_1_p;
|
||||
input gt_ref_clk_1_n;
|
||||
output gt_tx_0_p;
|
||||
output gt_tx_0_n;
|
||||
input gt_rx_0_p;
|
||||
input gt_rx_0_n;
|
||||
output gt_tx_1_p;
|
||||
output gt_tx_1_n;
|
||||
input gt_rx_1_p;
|
||||
input gt_rx_1_n;
|
||||
|
||||
output ad9517_csn;
|
||||
output ad9517_clk;
|
||||
|
@ -280,47 +280,24 @@ module system_top (
|
|||
output spi_mosi;
|
||||
input spi_miso;
|
||||
|
||||
|
||||
// internal signals
|
||||
|
||||
wire [ 1:0] spi_csn_s;
|
||||
wire spi_clk_s;
|
||||
wire spi_mosi_s;
|
||||
wire spi_miso_s;
|
||||
wire fmc_clk0_s;
|
||||
wire fmc_clk0;
|
||||
wire [31:0] up_clk0_count;
|
||||
wire fmc_clk1_s;
|
||||
wire fmc_clk1;
|
||||
wire [31:0] up_clk1_count;
|
||||
wire fmc_gt_ref_clk;
|
||||
wire ad9517_gt_ref_clk;
|
||||
wire [31:0] gpio_0_0_i;
|
||||
wire [31:0] gpio_0_0_o;
|
||||
wire [31:0] gpio_0_0_t;
|
||||
wire [31:0] gpio_0_1_i;
|
||||
wire [31:0] gpio_0_1_o;
|
||||
wire [31:0] gpio_0_1_t;
|
||||
wire [31:0] gpio_1_0_i;
|
||||
wire [31:0] gpio_1_0_o;
|
||||
wire [31:0] gpio_1_0_t;
|
||||
wire [31:0] gpio_1_1_i;
|
||||
wire [31:0] gpio_1_1_o;
|
||||
wire [31:0] gpio_1_1_t;
|
||||
wire [31:0] gpio_3_1_o;
|
||||
wire clk_0;
|
||||
wire clk_1;
|
||||
wire gt_ref_clk_0;
|
||||
wire gt_ref_clk_1;
|
||||
wire [63:0] gp_out_s;
|
||||
wire [63:0] gp_in_s;
|
||||
wire [63:0] gpio_i;
|
||||
wire [63:0] gpio_o;
|
||||
wire [63:0] gpio_t;
|
||||
wire tdd_sync_i;
|
||||
wire tdd_sync_o;
|
||||
wire tdd_sync_t;
|
||||
wire up_clk;
|
||||
wire up_rst;
|
||||
wire up_rstn;
|
||||
wire up_pn_err_clr;
|
||||
wire up_pn_oos_clr;
|
||||
wire up_pn_err;
|
||||
wire up_pn_oos;
|
||||
|
||||
// assignments
|
||||
|
||||
|
@ -335,87 +312,55 @@ module system_top (
|
|||
|
||||
// instantiations
|
||||
|
||||
IBUFDS i_ibufds_clk0 (
|
||||
.I (fmc_clk0_p),
|
||||
.IB (fmc_clk0_n),
|
||||
.O (fmc_clk0_s));
|
||||
IBUFDS i_ibufds_clk_0 (
|
||||
.I (clk_0_p),
|
||||
.IB (clk_0_n),
|
||||
.O (clk_0));
|
||||
|
||||
BUFG i_bufg_clk0 (
|
||||
.I (fmc_clk0_s),
|
||||
.O (fmc_clk0));
|
||||
IBUFDS i_ibufds_clk_1 (
|
||||
.I (clk_1_p),
|
||||
.IB (clk_1_n),
|
||||
.O (clk_1));
|
||||
|
||||
up_clock_mon i_clk0_mon (
|
||||
.up_rstn (up_rstn),
|
||||
.up_clk (up_clk),
|
||||
.up_d_count (up_clk0_count),
|
||||
.d_rst (up_rst),
|
||||
.d_clk (fmc_clk0));
|
||||
|
||||
IBUFDS i_ibufds_clk1 (
|
||||
.I (fmc_clk1_p),
|
||||
.IB (fmc_clk1_n),
|
||||
.O (fmc_clk1_s));
|
||||
|
||||
BUFG i_bufg_clk1 (
|
||||
.I (fmc_clk1_s),
|
||||
.O (fmc_clk1));
|
||||
|
||||
up_clock_mon i_clk1_mon (
|
||||
.up_rstn (up_rstn),
|
||||
.up_clk (up_clk),
|
||||
.up_d_count (up_clk1_count),
|
||||
.d_rst (up_rst),
|
||||
.d_clk (fmc_clk1));
|
||||
|
||||
IBUFDS_GTE2 i_ibufds_ref_clk_0 (
|
||||
IBUFDS_GTE2 i_ibufds_gt_ref_clk_0 (
|
||||
.CEB (1'd0),
|
||||
.I (fmc_gt_ref_clk_p),
|
||||
.IB (fmc_gt_ref_clk_n),
|
||||
.O (fmc_gt_ref_clk),
|
||||
.I (gt_ref_clk_0_p),
|
||||
.IB (gt_ref_clk_0_n),
|
||||
.O (gt_ref_clk_0),
|
||||
.ODIV2 ());
|
||||
|
||||
IBUFDS_GTE2 i_ibufds_ref_clk_1 (
|
||||
IBUFDS_GTE2 i_ibufds_gt_ref_clk_1 (
|
||||
.CEB (1'd0),
|
||||
.I (ad9517_gt_ref_clk_p),
|
||||
.IB (ad9517_gt_ref_clk_n),
|
||||
.O (ad9517_gt_ref_clk),
|
||||
.I (gt_ref_clk_1_p),
|
||||
.IB (gt_ref_clk_1_n),
|
||||
.O (gt_ref_clk_1),
|
||||
.ODIV2 ());
|
||||
|
||||
assign gpio_0_1_i[31:27] = 'd0;
|
||||
assign gpio_1_1_i[31:26] = 'd0;
|
||||
assign up_pn_err_clr = gpio_3_1_o[1];
|
||||
assign up_pn_oos_clr = gpio_3_1_o[0];
|
||||
assign gpio_tdd_sync_t = (gpio_3_1_o[4] == 1'b1) ? gpio_1_1_t[2] : tdd_sync_t;
|
||||
assign gpio_tdd_sync_o = (gpio_3_1_o[4] == 1'b1) ? gpio_1_1_o[2] : tdd_sync_o;
|
||||
assign tdd_sync_i = (gpio_3_1_o[4] == 1'b0) ? gpio_tdd_sync_i : 1'b0;
|
||||
assign gpio_1_1_i[2] = (gpio_3_1_o[4] == 1'b1) ? gpio_tdd_sync_i : 1'b0;
|
||||
assign gp_out_0 = gp_out_s[58:58];
|
||||
assign gp_out[56:35] = gp_out_s[57:36];
|
||||
assign gp_out[34: 0] = gp_out_s[34: 0];
|
||||
|
||||
ad_iobuf #(.DATA_WIDTH(59)) i_iobuf_pmod0_fmc_p (
|
||||
.dio_t ({gpio_0_1_t[26:0], gpio_0_0_t[31:0]}),
|
||||
.dio_i ({gpio_0_1_o[26:0], gpio_0_0_o[31:0]}),
|
||||
.dio_o ({gpio_0_1_i[26:0], gpio_0_0_i[31:0]}),
|
||||
.dio_p ({ sfp_gpio[3:0],
|
||||
cam_gpio[16:0],
|
||||
pmod0[3],
|
||||
pmod0[2],
|
||||
pmod0[1],
|
||||
pmod0[0],
|
||||
fmc_la_n[16:0],
|
||||
fmc_la_p[16:0]}));
|
||||
assign gp_in_s[63:63] = gp_in_0;
|
||||
assign gp_in_s[58:58] = 1'd0;
|
||||
assign gp_in_s[57:36] = gp_in[56:35];
|
||||
assign gp_in_s[35:35] = gp_out_s[62] & gpio_tdd_sync_i;
|
||||
assign gp_in_s[34: 0] = gp_in[34: 0];
|
||||
|
||||
ad_iobuf #(.DATA_WIDTH(58)) i_iobuf_pmod1_fmc_n (
|
||||
.dio_t ({gpio_1_1_t[25:3], gpio_tdd_sync_t, gpio_1_1_t[1:0], gpio_1_0_t[31:0]}),
|
||||
.dio_i ({gpio_1_1_o[25:3], gpio_tdd_sync_o, gpio_1_1_o[1:0], gpio_1_0_o[31:0]}),
|
||||
.dio_o ({gpio_1_1_i[25:3], gpio_tdd_sync_i, gpio_1_1_i[1:0], gpio_1_0_i[31:0]}),
|
||||
.dio_p ({ sfp_gpio[6:4],
|
||||
cam_gpio[33:17],
|
||||
pmod0[7],
|
||||
pmod0[6],
|
||||
pmod0[5],
|
||||
pmod0[4],
|
||||
fmc_la_n[33:17],
|
||||
fmc_la_p[33:17]}));
|
||||
ad_iobuf #(.DATA_WIDTH(1)) i_iobuf_35_0 (
|
||||
.dio_t (1'b0),
|
||||
.dio_i (gp_out_s[35]),
|
||||
.dio_o (),
|
||||
.dio_p (gp_inout_0));
|
||||
|
||||
ad_iobuf #(.DATA_WIDTH(1)) i_iobuf_35_1 (
|
||||
.dio_t (gpio_tdd_sync_t),
|
||||
.dio_i (gpio_tdd_sync_o),
|
||||
.dio_o (gpio_tdd_sync_i),
|
||||
.dio_p (gp_inout_1));
|
||||
|
||||
assign gpio_tdd_sync_t = gp_out_s[62] | tdd_sync_t;
|
||||
assign gpio_tdd_sync_o = gp_out_s[62] | tdd_sync_o;
|
||||
assign tdd_sync_i = ~gp_out_s[62] & gpio_tdd_sync_i;
|
||||
|
||||
ad_iobuf #(.DATA_WIDTH(25)) i_iobuf (
|
||||
.dio_t ({gpio_t[60:51], gpio_t[46:32]}),
|
||||
|
@ -444,6 +389,8 @@ module system_top (
|
|||
.dio_p (gpio_bd));
|
||||
|
||||
system_wrapper i_system_wrapper (
|
||||
.clk_0 (clk_0),
|
||||
.clk_1 (clk_1),
|
||||
.ddr_addr (ddr_addr),
|
||||
.ddr_ba (ddr_ba),
|
||||
.ddr_cas_n (ddr_cas_n),
|
||||
|
@ -483,39 +430,23 @@ module system_top (
|
|||
.fixed_io_ps_clk (fixed_io_ps_clk),
|
||||
.fixed_io_ps_porb (fixed_io_ps_porb),
|
||||
.fixed_io_ps_srstb (fixed_io_ps_srstb),
|
||||
.fmc_gt_ref_clk0 (fmc_gt_ref_clk),
|
||||
.fmc_gt_ref_clk1 (ad9517_gt_ref_clk),
|
||||
.fmc_gt_rx_n (fmc_gt_rx_n),
|
||||
.fmc_gt_rx_p (fmc_gt_rx_p),
|
||||
.fmc_gt_tx_n (fmc_gt_tx_n),
|
||||
.fmc_gt_tx_p (fmc_gt_tx_p),
|
||||
.gpio_0_0_i (gpio_0_0_i),
|
||||
.gpio_0_0_o (gpio_0_0_o),
|
||||
.gpio_0_0_t (gpio_0_0_t),
|
||||
.gpio_0_1_i (gpio_0_1_i),
|
||||
.gpio_0_1_o (gpio_0_1_o),
|
||||
.gpio_0_1_t (gpio_0_1_t),
|
||||
.gpio_1_0_i (gpio_1_0_i),
|
||||
.gpio_1_0_o (gpio_1_0_o),
|
||||
.gpio_1_0_t (gpio_1_0_t),
|
||||
.gpio_1_1_i (gpio_1_1_i),
|
||||
.gpio_1_1_o (gpio_1_1_o),
|
||||
.gpio_1_1_t (gpio_1_1_t),
|
||||
.gpio_2_0_i (up_clk0_count),
|
||||
.gpio_2_0_o (),
|
||||
.gpio_2_0_t (),
|
||||
.gpio_2_1_i (up_clk1_count),
|
||||
.gpio_2_1_o (),
|
||||
.gpio_2_1_t (),
|
||||
.gpio_3_0_i ({31'd0, fmc_prstn}),
|
||||
.gpio_3_0_o (),
|
||||
.gpio_3_0_t (),
|
||||
.gpio_3_1_i ({30'd0, up_pn_err, up_pn_oos}),
|
||||
.gpio_3_1_o (gpio_3_1_o),
|
||||
.gpio_3_1_t (),
|
||||
.gp_in_0 (gp_in_s[31:0]),
|
||||
.gp_in_1 (gp_in_s[63:32]),
|
||||
.gp_out_0 (gp_out_s[31:0]),
|
||||
.gp_out_1 (gp_out_s[63:32]),
|
||||
.gpio_i (gpio_i),
|
||||
.gpio_o (gpio_o),
|
||||
.gpio_t (gpio_t),
|
||||
.gt_ref_clk_0 (gt_ref_clk_0),
|
||||
.gt_ref_clk_1 (gt_ref_clk_1),
|
||||
.gt_rx_0_n (gt_rx_0_n),
|
||||
.gt_rx_0_p (gt_rx_0_p),
|
||||
.gt_rx_1_n (gt_rx_1_n),
|
||||
.gt_rx_1_p (gt_rx_1_p),
|
||||
.gt_tx_0_n (gt_tx_0_n),
|
||||
.gt_tx_0_p (gt_tx_0_p),
|
||||
.gt_tx_1_n (gt_tx_1_n),
|
||||
.gt_tx_1_p (gt_tx_1_p),
|
||||
.hdmi_data (hdmi_data),
|
||||
.hdmi_data_e (hdmi_data_e),
|
||||
.hdmi_hsync (hdmi_hsync),
|
||||
|
@ -576,14 +507,7 @@ module system_top (
|
|||
.tx_frame_out_n (tx_frame_out_n),
|
||||
.tx_frame_out_p (tx_frame_out_p),
|
||||
.txnrx (txnrx),
|
||||
.up_clk (up_clk),
|
||||
.up_enable (gpio_o[47]),
|
||||
.up_pn_err (up_pn_err),
|
||||
.up_pn_err_clr (up_pn_err_clr),
|
||||
.up_pn_oos (up_pn_oos),
|
||||
.up_pn_oos_clr (up_pn_oos_clr),
|
||||
.up_rst (up_rst),
|
||||
.up_rstn (up_rstn),
|
||||
.up_txnrx (gpio_o[48]));
|
||||
|
||||
endmodule
|
||||
|
|
Loading…
Reference in New Issue