m2k: Change constraint to match the new LA path

main
AndreiGrozav 2019-09-06 16:01:02 +03:00 committed by AndreiGrozav
parent f5ac0f7019
commit 9f112640f3
1 changed files with 1 additions and 1 deletions

View File

@ -70,7 +70,7 @@ create_clock -name clk_fpga_0 -period 36 [get_pins "i_system_wrapper/system_i/sy
create_clock -name clk_fpga_1 -period 5 [get_pins "i_system_wrapper/system_i/sys_ps7/inst/PS7_i/FCLKCLK[1]"]
create_clock -name clk_fpga_3 -period 18 [get_pins "i_system_wrapper/system_i/sys_ps7/inst/PS7_i/FCLKCLK[3]"]
set_false_path -from [get_clocks data_clk] -to [get_pins {i_system_wrapper/system_i/logic_analyzer/inst/data_m1_reg[0]/D}]
set_false_path -from [get_clocks data_clk] -to [get_cells {i_system_wrapper/system_i/logic_analyzer/inst/genblk*data_m*}]
set_clock_groups -name exclusive_ -physically_exclusive \
-group [get_clocks data_clk] -group [get_clocks rx_clk]