axi_ad5766: Add Makefiles for the core

main
Istvan Csomortani 2016-11-02 15:51:47 +02:00
parent eba22892b8
commit a2c20551a2
3 changed files with 128 additions and 78 deletions

View File

@ -10,6 +10,7 @@ all: lib
clean: clean:
make -C axi_ad5766 clean
make -C axi_ad6676 clean make -C axi_ad6676 clean
make -C axi_ad7616 clean make -C axi_ad7616 clean
make -C axi_ad9122 clean make -C axi_ad9122 clean
@ -94,84 +95,72 @@ clean-all:clean
lib: lib:
make -C axi_ad6676 -make -C axi_ad5766
make -C axi_ad7616 -make -C axi_ad6676
make -C axi_ad9122 -make -C axi_ad7616
make -C axi_ad9144 -make -C axi_ad9122
make -C axi_ad9152 -make -C axi_ad9144
make -C axi_ad9162 -make -C axi_ad9152
make -C axi_ad9234 -make -C axi_ad9162
make -C axi_ad9250 -make -C axi_ad9234
make -C axi_ad9265 -make -C axi_ad9250
make -C axi_ad9361 -make -C axi_ad9265
make -C axi_ad9371 -make -C axi_ad9361
make -C axi_ad9434 -make -C axi_ad9371
make -C axi_ad9467 -make -C axi_ad9434
make -C axi_ad9625 -make -C axi_ad9467
make -C axi_ad9643 -make -C axi_ad9625
make -C axi_ad9652 -make -C axi_ad9643
make -C axi_ad9671 -make -C axi_ad9652
make -C axi_ad9680 -make -C axi_ad9671
make -C axi_ad9684 -make -C axi_ad9680
make -C axi_ad9739a -make -C axi_ad9684
make -C axi_ad9963 -make -C axi_ad9739a
make -C axi_adc_decimate -make -C axi_clkgen
make -C axi_adc_trigger -make -C axi_dmac
make -C axi_clkgen -make -C axi_generic_adc
make -C axi_dac_interpolate -make -C axi_gpreg
make -C axi_dmac -make -C axi_hdmi_rx
make -C axi_fmcadc5_sync -make -C axi_hdmi_tx
make -C axi_generic_adc -make -C axi_i2s_adi
make -C axi_gpreg -make -C axi_jesd_gt
make -C axi_hdmi_rx -make -C axi_mc_controller
make -C axi_hdmi_tx -make -C axi_mc_current_monitor
make -C axi_i2s_adi -make -C axi_mc_speed
make -C axi_intr_monitor -make -C axi_spdif_rx
make -C axi_logic_analyzer -make -C axi_spdif_tx
make -C axi_mc_controller -make -C axi_usb_fx3
make -C axi_mc_current_monitor -make -C cn0363/cn0363_dma_sequencer
make -C axi_mc_speed -make -C cn0363/cn0363_phase_data_sync
make -C axi_rd_wr_combiner -make -C cordic_demod
make -C axi_spdif_rx -make -C interfaces
make -C axi_spdif_tx -make -C spi_engine/axi_spi_engine
make -C axi_usb_fx3 -make -C spi_engine/spi_engine_execution
make -C cn0363/cn0363_dma_sequencer -make -C spi_engine/spi_engine_interconnect
make -C cn0363/cn0363_phase_data_sync -make -C spi_engine/spi_engine_offload
make -C cordic_demod -make -C util_adcfifo
make -C spi_engine/axi_spi_engine -make -C util_axis_fifo
make -C spi_engine/spi_engine_execution -make -C util_axis_resize
make -C spi_engine/spi_engine_interconnect -make -C util_bsplit
make -C spi_engine/spi_engine_offload -make -C util_ccat
make -C util_adcfifo -make -C util_cpack
make -C util_axis_fifo -make -C util_dacfifo
make -C util_axis_resize -make -C util_gmii_to_rgmii
make -C util_bsplit -make -C util_gtlb
make -C util_ccat -make -C util_i2c_mixer
make -C util_cic -make -C util_jesd_gt
make -C util_clkdiv -make -C util_mfifo
make -C util_cpack -make -C util_pmod_adc
make -C util_dacfifo -make -C util_pmod_fmeter
make -C util_extract -make -C util_rfifo
make -C util_fir_dec -make -C util_sigma_delta_spi
make -C util_fir_int -make -C util_tdd_sync
make -C util_gmii_to_rgmii -make -C util_upack
make -C util_i2c_mixer -make -C util_wfifo
make -C util_mfifo -make -C xilinx/axi_adcfifo
make -C util_pmod_adc -make -C xilinx/axi_adxcvr
make -C util_pmod_fmeter -make -C xilinx/axi_dacfifo
make -C util_rfifo -make -C xilinx/util_adxcvr
make -C util_sigma_delta_spi
make -C util_tdd_sync
make -C util_upack
make -C util_var_fifo
make -C util_wfifo
make -C xilinx/axi_adcfifo
make -C xilinx/axi_adxcvr
make -C xilinx/axi_dacfifo
make -C xilinx/axi_xcvrlb
make -C xilinx/util_adxcvr
make -C interfaces
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################

View File

@ -0,0 +1,55 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS := axi_ad5766_ip.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../common/sync_bits.v
M_DEPS += ../common/ad_rst.v
M_DEPS += ../common/up_dac_common.v
M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_axi.v
M_DEPS += ../common/util_pulse_gen.v
M_DEPS += up_ad5766_sequencer.v
M_DEPS += axi_ad5766.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad5766.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad5766.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad5766_ip.tcl >> axi_ad5766_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -16,7 +16,9 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad5766/axi_ad5766.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
@ -52,7 +54,9 @@ clean:
clean-all:clean clean-all:clean
make -C ../../../library/axi_ad5766 clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
@ -68,7 +72,9 @@ ad5766_sdz_zed.sdk/system_top.hdf: $(M_DEPS)
lib: lib:
make -C ../../../library/axi_ad5766
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_spdif_tx