iobuf: do is a system verilog keyword

main
Rejeesh Kutty 2015-05-21 14:05:46 -04:00
parent ad3198f623
commit a6cae6b477
56 changed files with 640 additions and 642 deletions

View File

@ -271,25 +271,25 @@ module system_top (
.OB (rx_sync_n));
ad_iobuf #(.DATA_WIDTH(10)) i_iobuf (
.dt (gpio_t[41:32]),
.di (gpio_o[41:32]),
.do (gpio_i[41:32]),
.dio ({ adc_oen,
adc_sela,
adc_selb,
adc_s0,
adc_s1,
adc_resetb,
adc_agc1,
adc_agc2,
adc_agc3,
adc_agc4}));
.dio_t (gpio_t[41:32]),
.dio_i (gpio_o[41:32]),
.dio_o (gpio_i[41:32]),
.dio_p ({ adc_oen,
adc_sela,
adc_selb,
adc_s0,
adc_s1,
adc_resetb,
adc_agc1,
adc_agc2,
adc_agc3,
adc_agc4}));
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_bd (
.dt (gpio_t[14:0]),
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dio (gpio_bd));
.dio_t (gpio_t[14:0]),
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.adc_clk (adc_clk),

View File

@ -250,25 +250,25 @@ module system_top (
assign spi0_miso = spi_miso;
ad_iobuf #(.DATA_WIDTH(10)) i_iobuf (
.dt (gpio_t[41:32]),
.di (gpio_o[41:32]),
.do (gpio_i[41:32]),
.dio ({ adc_oen,
adc_sela,
adc_selb,
adc_s0,
adc_s1,
adc_resetb,
adc_agc1,
adc_agc2,
adc_agc3,
adc_agc4}));
.dio_t (gpio_t[41:32]),
.dio_i (gpio_o[41:32]),
.dio_o (gpio_i[41:32]),
.dio_p ({ adc_oen,
adc_sela,
adc_selb,
adc_s0,
adc_s1,
adc_resetb,
adc_agc1,
adc_agc2,
adc_agc3,
adc_agc4}));
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_bd (
.dt (gpio_t[14:0]),
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dio (gpio_bd));
.dio_t (gpio_t[14:0]),
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.adc_clk (adc_clk),

View File

@ -161,10 +161,10 @@ assign spi_mosi = spi0_mosi;
assign spi0_miso = spi_miso;
ad_iobuf #(.DATA_WIDTH(15)) iobuf_gpio_bd (
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dt (gpio_t[14:0]),
.dio (gpio_bd));
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_t (gpio_t[14:0]),
.dio_p (gpio_bd));
ad9265_spi i_spi (
.spi_csn(spi0_csn[1:0]),

View File

@ -151,10 +151,10 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_gpio (
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dt (gpio_t[14:0]),
.dio (gpio_bd));
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_t (gpio_t[14:0]),
.dio_p (gpio_bd));
ad9434_spi i_spi (
.spi_csn({spi_csn_clk, spi_csn_adc}),

View File

@ -214,10 +214,10 @@ module system_top (
);
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf_sw_led (
.dt (gpio_t[16:0]),
.di (gpio_o[16:0]),
.do (gpio_i[16:0]),
.dio(gpio_bd));
.dio_t (gpio_t[16:0]),
.dio_i (gpio_o[16:0]),
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -174,22 +174,22 @@ wire iic_mux_sda_t_s;
// instantiations
ad_iobuf #(.DATA_WIDTH(32)) i_iobuf_gpio (
.dt ({gpio_t[31:0]}),
.di ({gpio_o[31:0]}),
.do ({gpio_i[31:0]}),
.dio(gpio_bd));
.dio_t ({gpio_t[31:0]}),
.dio_i ({gpio_o[31:0]}),
.dio_o ({gpio_i[31:0]}),
.dio_p (gpio_bd));
ad_iobuf #(.DATA_WIDTH(2)) i_iobuf_iic_scl (
.dt ({iic_mux_scl_t_s,iic_mux_scl_t_s}),
.di (iic_mux_scl_o_s),
.do (iic_mux_scl_i_s),
.dio(iic_mux_scl));
.dio_t ({iic_mux_scl_t_s,iic_mux_scl_t_s}),
.dio_i (iic_mux_scl_o_s),
.dio_o (iic_mux_scl_i_s),
.dio_p (iic_mux_scl));
ad_iobuf #(.DATA_WIDTH(2)) i_iobuf_iic_sda (
.dt ({iic_mux_sda_t_s,iic_mux_sda_t_s}),
.di (iic_mux_sda_o_s),
.do (iic_mux_sda_i_s),
.dio(iic_mux_sda));
.dio_t ({iic_mux_sda_t_s,iic_mux_sda_t_s}),
.dio_i (iic_mux_sda_o_s),
.dio_o (iic_mux_sda_i_s),
.dio_p (iic_mux_sda));
assign spi_csn_adc = spi_csn[0];
assign spi_csn_clk = spi_csn[1];

View File

@ -168,10 +168,10 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_bd (
.dt (gpio_t[14:0]),
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dio (gpio_bd));
.dio_t (gpio_t[14:0]),
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -156,10 +156,10 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_sw_led (
.dt (gpio_t[12:0]),
.di (gpio_o[12:0]),
.do (gpio_i[12:0]),
.dio(gpio_bd));
.dio_t (gpio_t[12:0]),
.dio_i (gpio_o[12:0]),
.dio_o (gpio_i[12:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -179,10 +179,10 @@ module system_top (
assign iic_rstn = 1'b1;
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf_sw_led (
.dt (gpio_t[16:0]),
.di (gpio_o[16:0]),
.do (gpio_i[16:0]),
.dio(gpio_bd));
.dio_t (gpio_t[16:0]),
.dio_i (gpio_o[16:0]),
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
// instantiations

View File

@ -148,10 +148,10 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf_bd (
.dt (gpio_t[16:0]),
.di (gpio_o[16:0]),
.do (gpio_i[16:0]),
.dio (gpio_bd));
.dio_t (gpio_t[16:0]),
.dio_i (gpio_o[16:0]),
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.c0_ddr4_act_n (ddr4_act_n),

View File

@ -135,11 +135,11 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(32)) i_iobuf (
.dt (gpio_t[31:0]),
.di (gpio_o[31:0]),
.do (gpio_i[31:0]),
.dio({ gpio_wire,
gpio_bd}));
.dio_t (gpio_t[31:0]),
.dio_i (gpio_o[31:0]),
.dio_o (gpio_i[31:0]),
.dio_p ({ gpio_wire,
gpio_bd}));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -169,10 +169,10 @@ module system_top (
assign iic_rstn = 1'b1;
ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_sw_led (
.dt (gpio_t[20:0]),
.di (gpio_o[20:0]),
.do (gpio_i[20:0]),
.dio(gpio_bd));
.dio_t (gpio_t[20:0]),
.dio_i (gpio_o[20:0]),
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
// instantiations

View File

@ -124,10 +124,10 @@ module system_top (
ad_iobuf #(
.DATA_WIDTH(16)
) i_gpio_bd (
.dt(gpio_t[15:0]),
.di(gpio_o[15:0]),
.do(gpio_i[15:0]),
.dio(gpio_bd));
.dio_t(gpio_t[15:0]),
.dio_i(gpio_o[15:0]),
.dio_o(gpio_i[15:0]),
.dio_p(gpio_bd));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -124,10 +124,10 @@ module system_top (
ad_iobuf #(
.DATA_WIDTH(15)
) i_gpio_bd (
.dt(gpio_t[14:0]),
.di(gpio_o[14:0]),
.do(gpio_i[14:0]),
.dio(gpio_bd));
.dio_t(gpio_t[14:0]),
.dio_i(gpio_o[14:0]),
.dio_o(gpio_i[14:0]),
.dio_p(gpio_bd));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -151,26 +151,26 @@ module system_top (
ad_iobuf #(
.DATA_WIDTH(32)
) i_iobuf (
.dt(gpio_t[31:0]),
.di(gpio_o[31:0]),
.do(gpio_i[31:0]),
.dio(gpio_bd));
.dio_t(gpio_t[31:0]),
.dio_i(gpio_o[31:0]),
.dio_o(gpio_i[31:0]),
.dio_p(gpio_bd));
ad_iobuf #(
.DATA_WIDTH(2)
) i_iic_mux_scl (
.dt({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.di(iic_mux_scl_o_s),
.do(iic_mux_scl_i_s),
.dio(iic_mux_scl));
.dio_t({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.dio_i(iic_mux_scl_o_s),
.dio_o(iic_mux_scl_i_s),
.dio_p(iic_mux_scl));
ad_iobuf #(
.DATA_WIDTH(2)
) i_iic_mux_sda (
.dt({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.di(iic_mux_sda_o_s),
.do(iic_mux_sda_i_s),
.dio(iic_mux_sda));
.dio_t({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.dio_i(iic_mux_sda_o_s),
.dio_o(iic_mux_sda_i_s),
.dio_p(iic_mux_sda));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -165,26 +165,26 @@ module system_top (
ad_iobuf #(
.DATA_WIDTH(32)
) i_iobuf (
.dt(gpio_t),
.di(gpio_o),
.do(gpio_i),
.dio(gpio_bd));
.dio_t(gpio_t),
.dio_i(gpio_o),
.dio_o(gpio_i),
.dio_p(gpio_bd));
ad_iobuf #(
.DATA_WIDTH(2)
) i_iic_mux_scl (
.dt({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.di(iic_mux_scl_o_s),
.do(iic_mux_scl_i_s),
.dio(iic_mux_scl));
.dio_t({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.dio_i(iic_mux_scl_o_s),
.dio_o(iic_mux_scl_i_s),
.dio_p(iic_mux_scl));
ad_iobuf #(
.DATA_WIDTH(2)
) i_iic_mux_sda (
.dt({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.di(iic_mux_sda_o_s),
.do(iic_mux_sda_i_s),
.dio(iic_mux_sda));
.dio_t({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.dio_i(iic_mux_sda_o_s),
.dio_o(iic_mux_sda_i_s),
.dio_p(iic_mux_sda));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -183,34 +183,34 @@ module system_top (
ad_iobuf #(
.DATA_WIDTH(32))
i_gpio_bd (
.dt(gpio_t[31:0]),
.di(gpio_o[31:0]),
.do(gpio_i[31:0]),
.dio(gpio_bd));
.dio_t(gpio_t[31:0]),
.dio_i(gpio_o[31:0]),
.dio_o(gpio_i[31:0]),
.dio_p(gpio_bd));
ad_iobuf #(
.DATA_WIDTH(2))
i_gpio_cftl (
.dt(gpio_t[33:32]),
.di(gpio_o[33:32]),
.do(gpio_i[33:32]),
.dio(gpio_cftl));
.dio_t(gpio_t[33:32]),
.dio_i(gpio_o[33:32]),
.dio_o(gpio_i[33:32]),
.dio_p(gpio_cftl));
ad_iobuf #(
.DATA_WIDTH(2))
i_iic_mux_scl (
.dt({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.di(iic_mux_scl_o_s),
.do(iic_mux_scl_i_s),
.dio(iic_mux_scl));
.dio_t({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.dio_i(iic_mux_scl_o_s),
.dio_o(iic_mux_scl_i_s),
.dio_p(iic_mux_scl));
ad_iobuf #(
.DATA_WIDTH(2))
i_iic_mux_sda (
.dt({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.di(iic_mux_sda_o_s),
.do(iic_mux_sda_i_s),
.dio(iic_mux_sda));
.dio_t({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.dio_i(iic_mux_sda_o_s),
.dio_o(iic_mux_sda_i_s),
.dio_p(iic_mux_sda));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -25,17 +25,6 @@ set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to ddr3_ref_clk -d
set_location_assignment PIN_R30 -to ddr3_clk_p ; ## 1.5 V V1 MEM_CLK_P
set_location_assignment PIN_R31 -to ddr3_clk_n ; ## 1.5 V V2 MEM_CLK_N
set_location_assignment PIN_U33 -to ddr3_cke_0 ; ## 1.5 V P5 MEM_ADDR_CMD20
set_location_assignment PIN_T33 -to ddr3_cke_1 ; ## 1.5 V M5 MEM_ADDR_CMD21
set_location_assignment PIN_T35 -to ddr3_reset_n ; ## 1.5 V K1 MEM_ADDR_CMD27
set_location_assignment PIN_R34 -to ddr3_cs_n_0 ; ## 1.5 V P1 MEM_ADDR_CMD22
set_location_assignment PIN_P34 -to ddr3_cs_n_1 ; ## 1.5 V R4 MEM_ADDR_CMD23
set_location_assignment PIN_G33 -to ddr3_cas_n ; ## 1.5 V L4 MEM_ADDR_CMD19
set_location_assignment PIN_F32 -to ddr3_ras_n ; ## 1.5 V L2 MEM_ADDR_CMD26
set_location_assignment PIN_T34 -to ddr3_we_n ; ## 1.5 V P2 MEM_ADDR_CMD28
set_location_assignment PIN_F33 -to ddr3_ba[0] ; ## 1.5 V M1 MEM_ADDR_CMD16
set_location_assignment PIN_G35 -to ddr3_ba[1] ; ## 1.5 V M2 MEM_ADDR_CMD17
set_location_assignment PIN_H35 -to ddr3_ba[2] ; ## 1.5 V N2 MEM_ADDR_CMD18
set_location_assignment PIN_M32 -to ddr3_a[0] ; ## 1.5 V F1 MEM_ADDR_CMD0
set_location_assignment PIN_L32 -to ddr3_a[1] ; ## 1.5 V H1 MEM_ADDR_CMD1
set_location_assignment PIN_N34 -to ddr3_a[2] ; ## 1.5 V F2 MEM_ADDR_CMD2
@ -50,11 +39,16 @@ set_location_assignment PIN_H31 -to ddr3_a[10] ; ## 1.5 V E4 MEM_ADDR_
set_location_assignment PIN_J31 -to ddr3_a[11] ; ## 1.5 V F4 MEM_ADDR_CMD11
set_location_assignment PIN_H34 -to ddr3_a[12] ; ## 1.5 V G4 MEM_ADDR_CMD12
set_location_assignment PIN_H33 -to ddr3_a[13] ; ## 1.5 V H4 MEM_ADDR_CMD13
set_location_assignment PIN_G32 -to ddr3_a[14] ; ## 1.5 V J4 MEM_ADDR_CMD14
set_location_assignment PIN_E32 -to ddr3_a[15] ; ## 1.5 V K4 MEM_ADDR_CMD15
set_location_assignment PIN_N33 -to ddr3_odt_0 ; ## 1.5 V M4 MEM_ADDR_CMD24
set_location_assignment PIN_P33 -to ddr3_odt_1 ; ## 1.5 V R3 MEM_ADDR_CMD25
set_location_assignment PIN_J34 -to ddr3_rzq ; ## RZQ
set_location_assignment PIN_F33 -to ddr3_ba[0] ; ## 1.5 V M1 MEM_ADDR_CMD16
set_location_assignment PIN_G35 -to ddr3_ba[1] ; ## 1.5 V M2 MEM_ADDR_CMD17
set_location_assignment PIN_H35 -to ddr3_ba[2] ; ## 1.5 V N2 MEM_ADDR_CMD18
set_location_assignment PIN_U33 -to ddr3_cke ; ## 1.5 V P5 MEM_ADDR_CMD20
set_location_assignment PIN_R34 -to ddr3_cs_n ; ## 1.5 V P1 MEM_ADDR_CMD22
set_location_assignment PIN_N33 -to ddr3_odt ; ## 1.5 V M4 MEM_ADDR_CMD24
set_location_assignment PIN_T35 -to ddr3_reset_n ; ## 1.5 V K1 MEM_ADDR_CMD27
set_location_assignment PIN_T34 -to ddr3_we_n ; ## 1.5 V P2 MEM_ADDR_CMD28
set_location_assignment PIN_F32 -to ddr3_ras_n ; ## 1.5 V L2 MEM_ADDR_CMD26
set_location_assignment PIN_G33 -to ddr3_cas_n ; ## 1.5 V L4 MEM_ADDR_CMD19
set_location_assignment PIN_B26 -to ddr3_dqs_p[0] ; ## 1.5 V A6 MEM_DQSA_P0
set_location_assignment PIN_C26 -to ddr3_dqs_n[0] ; ## 1.5 V A7 MEM_DQSA_N0
set_location_assignment PIN_H28 -to ddr3_dqs_p[1] ; ## 1.5 V A2 MEM_DQSA_P1
@ -71,14 +65,6 @@ set_location_assignment PIN_AA34 -to ddr3_dqs_p[6] ; ## 1.5 V V16 MEM_DQSB_
set_location_assignment PIN_AA33 -to ddr3_dqs_n[6] ; ## 1.5 V V17 MEM_DQSB_N2
set_location_assignment PIN_AF33 -to ddr3_dqs_p[7] ; ## 1.5 V V8 MEM_DQSB_P3
set_location_assignment PIN_AF34 -to ddr3_dqs_n[7] ; ## 1.5 V V9 MEM_DQSB_N3
set_location_assignment PIN_E26 -to ddr3_dm[0] ; ## 1.5 V B10 MEM_DMA0
set_location_assignment PIN_G27 -to ddr3_dm[1] ; ## 1.5 V C4 MEM_DMA1
set_location_assignment PIN_A29 -to ddr3_dm[2] ; ## 1.5 V B17 MEM_DMA2
set_location_assignment PIN_F30 -to ddr3_dm[3] ; ## 1.5 V F17 MEM_DMA3
set_location_assignment PIN_AB32 -to ddr3_dm[4] ; ## 1.5 V M16 MEM_DMB0
set_location_assignment PIN_AG31 -to ddr3_dm[5] ; ## 1.5 V U16 MEM_DMB1
set_location_assignment PIN_Y35 -to ddr3_dm[6] ; ## 1.5 V U11 MEM_DMB2
set_location_assignment PIN_AC34 -to ddr3_dm[7] ; ## 1.5 V U6 MEM_DMB3
set_location_assignment PIN_B28 -to ddr3_dq[0] ; ## 1.5 V A4 MEM_DQA0
set_location_assignment PIN_A28 -to ddr3_dq[1] ; ## 1.5 V B4 MEM_DQA1
set_location_assignment PIN_A27 -to ddr3_dq[2] ; ## 1.5 V B5 MEM_DQA2
@ -143,7 +129,22 @@ set_location_assignment PIN_AD35 -to ddr3_dq[60] ; ## 1.5 V T8 MEM_DQB28
set_location_assignment PIN_AE34 -to ddr3_dq[61] ; ## 1.5 V U8 MEM_DQB29
set_location_assignment PIN_AC33 -to ddr3_dq[62] ; ## 1.5 V U7 MEM_DQB30
set_location_assignment PIN_AD34 -to ddr3_dq[63] ; ## 1.5 V V6 MEM_DQB31
set_location_assignment PIN_E26 -to ddr3_dm[0] ; ## 1.5 V B10 MEM_DMA0
set_location_assignment PIN_G27 -to ddr3_dm[1] ; ## 1.5 V C4 MEM_DMA1
set_location_assignment PIN_A29 -to ddr3_dm[2] ; ## 1.5 V B17 MEM_DMA2
set_location_assignment PIN_F30 -to ddr3_dm[3] ; ## 1.5 V F17 MEM_DMA3
set_location_assignment PIN_AB32 -to ddr3_dm[4] ; ## 1.5 V M16 MEM_DMB0
set_location_assignment PIN_AG31 -to ddr3_dm[5] ; ## 1.5 V U16 MEM_DMB1
set_location_assignment PIN_Y35 -to ddr3_dm[6] ; ## 1.5 V U11 MEM_DMB2
set_location_assignment PIN_AC34 -to ddr3_dm[7] ; ## 1.5 V U6 MEM_DMB3
set_location_assignment PIN_J34 -to ddr3_rzq ; ## RZQ
## set_location_assignment PIN_G32 -to ddr3_a[14] ; ## 1.5 V J4 MEM_ADDR_CMD14
## set_location_assignment PIN_E32 -to ddr3_a[15] ; ## 1.5 V K4 MEM_ADDR_CMD15
## set_location_assignment PIN_T33 -to ddr3_cke_1 ; ## 1.5 V M5 MEM_ADDR_CMD21
## set_location_assignment PIN_P34 -to ddr3_cs_n_1 ; ## 1.5 V R4 MEM_ADDR_CMD23
## set_location_assignment PIN_P33 -to ddr3_odt_1 ; ## 1.5 V R3 MEM_ADDR_CMD25
## E2 MEM_DQA32 set_location_assignment PIN_J28 1.5 V
## G16 MEM_DQA33 set_location_assignment PIN_G31 1.5 V
## R16 MEM_DQB32 set_location_assignment PIN_AF32 1.5 V

View File

@ -305,17 +305,17 @@ module system_top (
.spi_sdio (spi_sdio));
ad_iobuf #(.DATA_WIDTH(23)) i_iobuf (
.dt({gpio_t[39:32], gpio_t[14:0]}),
.di({gpio_o[39:32], gpio_o[14:0]}),
.do({gpio_i[39:32], gpio_i[14:0]}),
.dio({gpio_adc_fdb, // 39
gpio_adc_fda, // 38
gpio_dac_irqn, // 37
gpio_clkd_status, // 36:35
gpio_clkd_pdn, // 34
gpio_clkd_syncn, // 33
gpio_resetn, // 32
gpio_bd})); // 14:0
.dio_t({gpio_t[39:32], gpio_t[14:0]}),
.dio_i({gpio_o[39:32], gpio_o[14:0]}),
.dio_o({gpio_i[39:32], gpio_i[14:0]}),
.dio_p({gpio_adc_fdb, // 39
gpio_adc_fda, // 38
gpio_dac_irqn, // 37
gpio_clkd_status, // 36:35
gpio_clkd_pdn, // 34
gpio_clkd_syncn, // 33
gpio_resetn, // 32
gpio_bd})); // 14:0
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -100,11 +100,8 @@ module daq2_spi (
// io butter
IOBUF i_iobuf_sdio (
.T (spi_enable_s),
.I (spi_mosi),
.O (spi_miso),
.IO (spi_sdio));
assign spi_miso = spi_sdio;
assign spi_sdio = (spi_enable_s == 1'b1) ? 1'bz : spi_mosi;
endmodule

View File

@ -306,23 +306,23 @@ module system_top (
assign gpio_i[43] = trig;
ad_iobuf #(.DATA_WIDTH(9)) i_iobuf (
.dt ({gpio_t[42:40], gpio_t[38], gpio_t[36:32]}),
.di ({gpio_o[42:40], gpio_o[38], gpio_o[36:32]}),
.do ({gpio_i[42:40], gpio_i[38], gpio_i[36:32]}),
.dio ({ adc_pd, // 42
dac_txen, // 41
dac_reset, // 40
clkd_sync, // 38
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
.dio_t ({gpio_t[42:40], gpio_t[38], gpio_t[36:32]}),
.dio_i ({gpio_o[42:40], gpio_o[38], gpio_o[36:32]}),
.dio_o ({gpio_i[42:40], gpio_i[38], gpio_i[36:32]}),
.dio_p ({ adc_pd, // 42
dac_txen, // 41
dac_reset, // 40
clkd_sync, // 38
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf_bd (
.dt (gpio_t[16:0]),
.di (gpio_o[16:0]),
.do (gpio_i[16:0]),
.dio (gpio_bd));
.dio_t (gpio_t[16:0]),
.dio_i (gpio_o[16:0]),
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -273,23 +273,23 @@ module system_top (
assign gpio_i[43] = trig;
ad_iobuf #(.DATA_WIDTH(9)) i_iobuf (
.dt ({gpio_t[42:40], gpio_t[38], gpio_t[36:32]}),
.di ({gpio_o[42:40], gpio_o[38], gpio_o[36:32]}),
.do ({gpio_i[42:40], gpio_i[38], gpio_i[36:32]}),
.dio ({ adc_pd, // 42
dac_txen, // 41
dac_reset, // 40
clkd_sync, // 38
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
.dio_t ({gpio_t[42:40], gpio_t[38], gpio_t[36:32]}),
.dio_i ({gpio_o[42:40], gpio_o[38], gpio_o[36:32]}),
.dio_o ({gpio_i[42:40], gpio_i[38], gpio_i[36:32]}),
.dio_p ({ adc_pd, // 42
dac_txen, // 41
dac_reset, // 40
clkd_sync, // 38
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf_bd (
.dt (gpio_t[16:0]),
.di (gpio_o[16:0]),
.do (gpio_i[16:0]),
.dio (gpio_bd));
.dio_t (gpio_t[16:0]),
.dio_i (gpio_o[16:0]),
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.c0_ddr4_act_n (ddr4_act_n),

View File

@ -294,23 +294,23 @@ module system_top (
assign gpio_i[43] = trig;
ad_iobuf #(.DATA_WIDTH(9)) i_iobuf (
.dt ({gpio_t[42:40], gpio_t[38], gpio_t[36:32]}),
.di ({gpio_o[42:40], gpio_o[38], gpio_o[36:32]}),
.do ({gpio_i[42:40], gpio_i[38], gpio_i[36:32]}),
.dio ({ adc_pd, // 42
dac_txen, // 41
dac_reset, // 40
clkd_sync, // 38
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
.dio_t ({gpio_t[42:40], gpio_t[38], gpio_t[36:32]}),
.dio_i ({gpio_o[42:40], gpio_o[38], gpio_o[36:32]}),
.dio_o ({gpio_i[42:40], gpio_i[38], gpio_i[36:32]}),
.dio_p ({ adc_pd, // 42
dac_txen, // 41
dac_reset, // 40
clkd_sync, // 38
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_bd (
.dt (gpio_t[20:0]),
.di (gpio_o[20:0]),
.do (gpio_i[20:0]),
.dio (gpio_bd));
.dio_t (gpio_t[20:0]),
.dio_i (gpio_o[20:0]),
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -309,23 +309,23 @@ module system_top (
assign spi_clk = spi0_clk;
ad_iobuf #(.DATA_WIDTH(9)) i_iobuf (
.dt ({gpio_t[42:40], gpio_t[38], gpio_t[36:32]}),
.di ({gpio_o[42:40], gpio_o[38], gpio_o[36:32]}),
.do ({gpio_i[42:40], gpio_i[38], gpio_i[36:32]}),
.dio ({ adc_pd, // 42
dac_txen, // 41
dac_reset, // 40
clkd_sync, // 38
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
.dio_t ({gpio_t[42:40], gpio_t[38], gpio_t[36:32]}),
.dio_i ({gpio_o[42:40], gpio_o[38], gpio_o[36:32]}),
.dio_o ({gpio_i[42:40], gpio_i[38], gpio_i[36:32]}),
.dio_p ({ adc_pd, // 42
dac_txen, // 41
dac_reset, // 40
clkd_sync, // 38
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_bd (
.dt (gpio_t[14:0]),
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dio (gpio_bd));
.dio_t (gpio_t[14:0]),
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -436,21 +436,21 @@ module system_top (
assign spi_clk = spi0_clk;
ad_iobuf #(.DATA_WIDTH(7)) i_iobuf (
.dt (gpio_t[38:32]),
.di (gpio_o[38:32]),
.do (gpio_i[38:32]),
.dio ({ adc_pd, // 38
dac_txen, // 37
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
.dio_t (gpio_t[38:32]),
.dio_i (gpio_o[38:32]),
.dio_o (gpio_i[38:32]),
.dio_p ({ adc_pd, // 38
dac_txen, // 37
adc_fdb, // 36
adc_fda, // 35
dac_irq, // 34
clkd_status})); // 32
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_bd (
.dt (gpio_t[14:0]),
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dio (gpio_bd));
.dio_t (gpio_t[14:0]),
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.adc_clk (adc_clk),

View File

@ -234,17 +234,17 @@ module system_top (
.spi_ext_sdio (spi_ext_sdio));
ad_iobuf #(.DATA_WIDTH(3)) i_iobuf (
.dt (gpio_t[33:32]),
.di (gpio_o[33:32]),
.do (gpio_i[33:32]),
.dio ({ adc_irq, // 33
adc_fd})); // 32
.dio_t (gpio_t[33:32]),
.dio_i (gpio_o[33:32]),
.dio_o (gpio_i[33:32]),
.dio_p ({ adc_irq, // 33
adc_fd})); // 32
ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_bd (
.dt (gpio_t[20:0]),
.di (gpio_o[20:0]),
.do (gpio_i[20:0]),
.dio (gpio_bd));
.dio_t (gpio_t[20:0]),
.dio_i (gpio_o[20:0]),
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -248,17 +248,17 @@ module system_top (
.spi_ext_sdio (spi_ext_sdio));
ad_iobuf #(.DATA_WIDTH(3)) i_iobuf (
.dt (gpio_t[33:32]),
.di (gpio_o[33:32]),
.do (gpio_i[33:32]),
.dio ({ adc_irq, // 33
adc_fd})); // 32
.dio_t (gpio_t[33:32]),
.dio_i (gpio_o[33:32]),
.dio_o (gpio_i[33:32]),
.dio_p ({ adc_irq, // 33
adc_fd})); // 32
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_bd (
.dt (gpio_t[14:0]),
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dio (gpio_bd));
.dio_t (gpio_t[14:0]),
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -442,21 +442,21 @@ module system_top (
.spi_sdio (spi_sdio));
ad_iobuf #(.DATA_WIDTH(6)) i_iobuf (
.dt (gpio_t[37:32]),
.di (gpio_o[37:32]),
.do (gpio_i[37:32]),
.dio ({ ad9680_2_fdb, // 37
ad9680_2_fda, // 36
ad9680_1_fdb, // 35
ad9680_1_fda, // 34
ad9528_status, // 33
ad9528_rstn})); // 32
.dio_t (gpio_t[37:32]),
.dio_i (gpio_o[37:32]),
.dio_o (gpio_i[37:32]),
.dio_p ({ ad9680_2_fdb, // 37
ad9680_2_fda, // 36
ad9680_1_fdb, // 35
ad9680_1_fda, // 34
ad9528_status, // 33
ad9528_rstn})); // 32
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_bd (
.dt (gpio_t[14:0]),
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dio (gpio_bd));
.dio_t (gpio_t[14:0]),
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.adc_clk (adc_clk),

View File

@ -354,28 +354,28 @@ module system_top (
.spi_dirn (spi_dirn));
ad_iobuf #(.DATA_WIDTH(13)) i_iobuf (
.dt (gpio_t[44:32]),
.di (gpio_o[44:32]),
.do (gpio_i[44:32]),
.dio ({ pwr_good, // 44
fd_1, // 43
irq_1, // 42
fd_0, // 41
irq_0, // 40
pwdn_1, // 39
rst_1, // 38
drst_1, // 37
arst_1, // 36
pwdn_0, // 35
rst_0, // 34
drst_0, // 33
arst_0})); // 32
.dio_t (gpio_t[44:32]),
.dio_i (gpio_o[44:32]),
.dio_o (gpio_i[44:32]),
.dio_p ({ pwr_good, // 44
fd_1, // 43
irq_1, // 42
fd_0, // 41
irq_0, // 40
pwdn_1, // 39
rst_1, // 38
drst_1, // 37
arst_1, // 36
pwdn_0, // 35
rst_0, // 34
drst_0, // 33
arst_0})); // 32
ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_bd (
.dt (gpio_t[20:0]),
.di (gpio_o[20:0]),
.do (gpio_i[20:0]),
.dio (gpio_bd));
.dio_t (gpio_t[20:0]),
.dio_i (gpio_o[20:0]),
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.adc_clk (adc_clk),

View File

@ -282,10 +282,10 @@ module system_top (
.ODIV2 ());
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf (
.dt (gpio_t[16:0]),
.di (gpio_o[16:0]),
.do (gpio_i[16:0]),
.dio (gpio_bd));
.dio_t (gpio_t[16:0]),
.dio_i (gpio_o[16:0]),
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
fmcjesdadc1_spi i_fmcjesdadc1_spi (
.spi_csn (spi_csn[0]),

View File

@ -276,10 +276,10 @@ module system_top (
.ODIV2 ());
ad_iobuf #(.DATA_WIDTH(21)) i_iobuf (
.dt (gpio_t[20:0]),
.di (gpio_o[20:0]),
.do (gpio_i[20:0]),
.dio (gpio_bd));
.dio_t (gpio_t[20:0]),
.dio_i (gpio_o[20:0]),
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
fmcjesdadc1_spi i_fmcjesdadc1_spi (
.spi_csn (spi_csn_0),

View File

@ -250,10 +250,10 @@ module system_top (
.ODIV2 ());
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf (
.dt (gpio_t[14:0]),
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dio (gpio_bd));
.dio_t (gpio_t[14:0]),
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign spi_adc_clk = spi_clk;
assign spi_clk_clk = spi_clk;

View File

@ -202,10 +202,10 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(13)) i_iobuf_sw_led (
.dt (gpio_t[12:0]),
.di (gpio_o[12:0]),
.do (gpio_i[12:0]),
.dio(gpio_bd));
.dio_t (gpio_t[12:0]),
.dio_i (gpio_o[12:0]),
.dio_o (gpio_i[12:0]),
.dio_p (gpio_bd));
ODDR #(
.DDR_CLK_EDGE ("SAME_EDGE"),

View File

@ -279,10 +279,10 @@ module system_top (
end
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf_bd (
.dt (gpio_t[16:0]),
.di (gpio_o[16:0]),
.do (gpio_i[16:0]),
.dio (gpio_bd));
.dio_t (gpio_t[16:0]),
.dio_i (gpio_o[16:0]),
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -269,10 +269,10 @@ module system_top (
end
ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_sw_led (
.dt (gpio_t[20:0]),
.di (gpio_o[20:0]),
.do (gpio_i[20:0]),
.dio(gpio_bd));
.dio_t (gpio_t[20:0]),
.dio_i (gpio_o[20:0]),
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -213,10 +213,10 @@ module system_top (
ad_iobuf #(
.DATA_WIDTH(16))
i_gpio_bd (
.dt(gpio_t[15:0]),
.di(gpio_o[15:0]),
.do(gpio_i[15:0]),
.dio(gpio_bd));
.dio_t(gpio_t[15:0]),
.dio_i(gpio_o[15:0]),
.dio_o(gpio_i[15:0]),
.dio_p(gpio_bd));
always @(posedge dac_clk) begin
dac_dma_rd <= dac_valid_0 & dac_enable_0;

View File

@ -212,10 +212,10 @@ module system_top (
ad_iobuf #(
.DATA_WIDTH(15))
i_gpio_bd (
.dt(gpio_t[14:0]),
.di(gpio_o[14:0]),
.do(gpio_i[14:0]),
.dio(gpio_bd));
.dio_t(gpio_t[14:0]),
.dio_i(gpio_o[14:0]),
.dio_o(gpio_i[14:0]),
.dio_p(gpio_bd));
always @(posedge dac_clk) begin
dac_dma_rd <= dac_valid_0 & dac_enable_0;

View File

@ -240,26 +240,26 @@ module system_top (
ad_iobuf #(
.DATA_WIDTH(32))
i_gpio_bd (
.dt(gpio_t[31:0]),
.di(gpio_o[31:0]),
.do(gpio_i[31:0]),
.dio(gpio_bd));
.dio_t(gpio_t[31:0]),
.dio_i(gpio_o[31:0]),
.dio_o(gpio_i[31:0]),
.dio_p(gpio_bd));
ad_iobuf #(
.DATA_WIDTH(2))
i_iic_mux_scl (
.dt({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.di(iic_mux_scl_o_s),
.do(iic_mux_scl_i_s),
.dio(iic_mux_scl));
.dio_t({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.dio_i(iic_mux_scl_o_s),
.dio_o(iic_mux_scl_i_s),
.dio_p(iic_mux_scl));
ad_iobuf #(
.DATA_WIDTH(2))
i_iic_mux_sda (
.dt({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.di(iic_mux_sda_o_s),
.do(iic_mux_sda_i_s),
.dio(iic_mux_sda));
.dio_t({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.dio_i(iic_mux_sda_o_s),
.dio_o(iic_mux_sda_i_s),
.dio_p(iic_mux_sda));
always @(posedge dac_clk) begin
dac_dma_rd <= dac_valid_0 & dac_enable_0;

View File

@ -199,22 +199,22 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf (
.dt (gpio_t[48:32]),
.di (gpio_o[48:32]),
.do (gpio_i[48:32]),
.dio({ gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
.dio_t (gpio_t[48:32]),
.dio_i (gpio_o[48:32]),
.dio_o (gpio_i[48:32]),
.dio_p ({ gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
ad_iobuf #(.DATA_WIDTH(13)) i_iobuf_sw_led (
.dt (gpio_t[12:0]),
.di (gpio_o[12:0]),
.do (gpio_i[12:0]),
.dio(gpio_bd));
.dio_t (gpio_t[12:0]),
.dio_i (gpio_o[12:0]),
.dio_o (gpio_i[12:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -225,22 +225,22 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf (
.dt (gpio_t[49:32]),
.di (gpio_o[49:32]),
.do (gpio_i[49:32]),
.dio({ gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
.dio_t (gpio_t[49:32]),
.dio_i (gpio_o[49:32]),
.dio_o (gpio_i[49:32]),
.dio_p ({ gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf_bd (
.dt (gpio_t[16:0]),
.di (gpio_o[16:0]),
.do (gpio_i[16:0]),
.dio (gpio_bd));
.dio_t (gpio_t[16:0]),
.dio_i (gpio_o[16:0]),
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -186,22 +186,22 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf (
.dt (gpio_t[48:32]),
.di (gpio_o[48:32]),
.do (gpio_i[48:32]),
.dio({ gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
.dio_t (gpio_t[48:32]),
.dio_i (gpio_o[48:32]),
.dio_o (gpio_i[48:32]),
.dio_p ({ gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
ad_iobuf #(.DATA_WIDTH(12)) i_iobuf_bd (
.dt (gpio_t[11:0]),
.di (gpio_o[11:0]),
.do (gpio_i[11:0]),
.dio (gpio_bd));
.dio_t (gpio_t[11:0]),
.dio_i (gpio_o[11:0]),
.dio_o (gpio_i[11:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -220,22 +220,22 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf (
.dt ({gpio_t[50:49], gpio_t[46:32]}),
.di ({gpio_o[50:49], gpio_o[46:32]}),
.do ({gpio_i[50:49], gpio_i[46:32]}),
.dio({ gpio_rfpwr_enable,
gpio_clksel,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
.dio_t ({gpio_t[50:49], gpio_t[46:32]}),
.dio_i ({gpio_o[50:49], gpio_o[46:32]}),
.dio_o ({gpio_i[50:49], gpio_i[46:32]}),
.dio_p ({ gpio_rfpwr_enable,
gpio_clksel,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
ad_iobuf #(.DATA_WIDTH(12)) i_iobuf_bd (
.dt (gpio_t[11:0]),
.di (gpio_o[11:0]),
.do (gpio_i[11:0]),
.dio (gpio_bd));
.dio_t (gpio_t[11:0]),
.dio_i (gpio_o[11:0]),
.dio_o (gpio_i[11:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -215,22 +215,22 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf (
.dt (gpio_t[48:32]),
.di (gpio_o[48:32]),
.do (gpio_i[48:32]),
.dio({ gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
.dio_t (gpio_t[48:32]),
.dio_i (gpio_o[48:32]),
.dio_o (gpio_i[48:32]),
.dio_p ({ gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_sw_led (
.dt (gpio_t[20:0]),
.di (gpio_o[20:0]),
.do (gpio_i[20:0]),
.dio(gpio_bd));
.dio_t (gpio_t[20:0]),
.dio_i (gpio_o[20:0]),
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -179,25 +179,25 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(29)) i_iobuf (
.dt ({gpio_t[48:32],gpio_t[15:8], gpio_t[3:0]}),
.di ({gpio_o[48:32],gpio_o[15:8], gpio_o[3:0]}),
.do ({gpio_i[48:32],gpio_i[15:8], gpio_i[3:0]}),
.dio({ gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status,
gpio_bd[15:8],
gpio_bd[3:0]}));
.dio_t ({gpio_t[48:32],gpio_t[15:8], gpio_t[3:0]}),
.dio_i ({gpio_o[48:32],gpio_o[15:8], gpio_o[3:0]}),
.dio_o ({gpio_i[48:32],gpio_i[15:8], gpio_i[3:0]}),
.dio_p ({ gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status,
gpio_bd[15:8],
gpio_bd[3:0]}));
// udc spi is just output and connected PMOD2_x_LS
ad_iobuf #(.DATA_WIDTH(4)) i_iobuf_spi (
.dt ({4'd0}),
.di ({spi_udc_csn_tx, spi_udc_csn_rx, spi_udc_data, spi_udc_sclk}),
.do (),
.dio(gpio_bd[7:4]));
.dio_t ({4'd0}),
.dio_i ({spi_udc_csn_tx, spi_udc_csn_rx, spi_udc_data, spi_udc_sclk}),
.dio_o (),
.dio_p (gpio_bd[7:4]));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -205,20 +205,20 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf (
.dt (gpio_t[46:32]),
.di (gpio_o[46:32]),
.do (gpio_i[46:32]),
.dio({ gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
.dio_t (gpio_t[46:32]),
.dio_i (gpio_o[46:32]),
.dio_o (gpio_i[46:32]),
.dio_p ({ gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_bd (
.dt (gpio_t[14:0]),
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dio (gpio_bd));
.dio_t (gpio_t[14:0]),
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -210,29 +210,29 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(49)) i_iobuf_gpio (
.dt ({gpio_t[48:0]}),
.di ({gpio_o[48:0]}),
.do ({gpio_i[48:0]}),
.dio({ gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status,
gpio_bd}));
.dio_t ({gpio_t[48:0]}),
.dio_i ({gpio_o[48:0]}),
.dio_o ({gpio_i[48:0]}),
.dio_p ({ gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status,
gpio_bd}));
ad_iobuf #(.DATA_WIDTH(2)) i_iobuf_iic_scl (
.dt ({iic_mux_scl_t_s,iic_mux_scl_t_s}),
.di (iic_mux_scl_o_s),
.do (iic_mux_scl_i_s),
.dio(iic_mux_scl));
.dio_t ({iic_mux_scl_t_s,iic_mux_scl_t_s}),
.dio_i (iic_mux_scl_o_s),
.dio_o (iic_mux_scl_i_s),
.dio_p (iic_mux_scl));
ad_iobuf #(.DATA_WIDTH(2)) i_iobuf_iic_sda (
.dt ({iic_mux_sda_t_s,iic_mux_sda_t_s}),
.di (iic_mux_sda_o_s),
.do (iic_mux_sda_i_s),
.dio(iic_mux_sda));
.dio_t ({iic_mux_sda_t_s,iic_mux_sda_t_s}),
.dio_i (iic_mux_sda_o_s),
.dio_o (iic_mux_sda_i_s),
.dio_p (iic_mux_sda));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -209,17 +209,17 @@ module system_top (
wire [31:0] dac_gpio_output;
ad_iobuf #(.DATA_WIDTH(29)) i_iobuf (
.dt ({gpio_t[48:32], gpio_t[11:0]}),
.di ({gpio_o[48:32], gpio_o[11:0]}),
.do ({gpio_i[48:32], gpio_i[11:0]}),
.dio ({ gpio_txnrx, // 48
gpio_enable, // 47
gpio_resetb, // 46
gpio_sync, // 45
gpio_en_agc, // 44
gpio_ctl, // 40
gpio_status, // 32
gpio_bd})); // 0
.dio_t ({gpio_t[48:32], gpio_t[11:0]}),
.dio_i ({gpio_o[48:32], gpio_o[11:0]}),
.dio_o ({gpio_i[48:32], gpio_i[11:0]}),
.dio_p ({ gpio_txnrx, // 48
gpio_enable, // 47
gpio_resetb, // 46
gpio_sync, // 45
gpio_en_agc, // 44
gpio_ctl, // 40
gpio_status, // 32
gpio_bd})); // 0
prcfg_system_top i_prcfg_system_top (
.clk(clk),

View File

@ -198,17 +198,17 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(32)) i_iobuf_gpio_ps7 (
.dt ({gpio_t[48:32],gpio_t[14:0]}),
.di ({gpio_o[48:32],gpio_o[14:0]}),
.do ({gpio_i[48:32],gpio_i[14:0]}),
.dio ({ gpio_txnrx, // 48
gpio_enable, // 47
gpio_resetb, // 46
gpio_sync, // 45
gpio_en_agc, // 44
gpio_ctl, // 40
gpio_status, // 32
gpio_bd})); // 0
.dio_t ({gpio_t[48:32],gpio_t[14:0]}),
.dio_i ({gpio_o[48:32],gpio_o[14:0]}),
.dio_o ({gpio_i[48:32],gpio_i[14:0]}),
.dio_p ({ gpio_txnrx, // 48
gpio_enable, // 47
gpio_resetb, // 46
gpio_sync, // 45
gpio_en_agc, // 44
gpio_ctl, // 40
gpio_status, // 32
gpio_bd})); // 0
prcfg_system_top i_prcfg_system_top (
.clk(clk),

View File

@ -281,34 +281,34 @@ module system_top (
.O (ref_clk));
ad_iobuf #(.DATA_WIDTH(60)) i_iobuf (
.dt (gpio_t[59:0]),
.di (gpio_o[59:0]),
.do (gpio_i[59:0]),
.dio ({ gpio_resetb_1, // 59
gpio_ad5355_lock, // 58
gpio_ad5355_rfen, // 57
gpio_calsw_4_1, // 56
gpio_calsw_3_1, // 55
gpio_calsw_2_0, // 54
gpio_calsw_1_0, // 53
gpio_txnrx_1, // 52
gpio_enable_1, // 51
gpio_en_agc_1, // 50
gpio_txnrx_0, // 49
gpio_enable_0, // 48
gpio_en_agc_0, // 47
gpio_resetb_0, // 46
gpio_open_45_45, // 45
gpio_open_44_44, // 44
gpio_debug_4_1, // 43
gpio_debug_3_1, // 42
gpio_debug_2_0, // 41
gpio_debug_1_0, // 40
gpio_ctl_1, // 36
gpio_ctl_0, // 32
gpio_status_1, // 24
gpio_status_0, // 16
gpio_bd})); // 0
.dio_t (gpio_t[59:0]),
.dio_i (gpio_o[59:0]),
.dio_o (gpio_i[59:0]),
.dio_p ({ gpio_resetb_1, // 59
gpio_ad5355_lock, // 58
gpio_ad5355_rfen, // 57
gpio_calsw_4_1, // 56
gpio_calsw_3_1, // 55
gpio_calsw_2_0, // 54
gpio_calsw_1_0, // 53
gpio_txnrx_1, // 52
gpio_enable_1, // 51
gpio_en_agc_1, // 50
gpio_txnrx_0, // 49
gpio_enable_0, // 48
gpio_en_agc_0, // 47
gpio_resetb_0, // 46
gpio_open_45_45, // 45
gpio_open_44_44, // 44
gpio_debug_4_1, // 43
gpio_debug_3_1, // 42
gpio_debug_2_0, // 41
gpio_debug_1_0, // 40
gpio_ctl_1, // 36
gpio_ctl_0, // 32
gpio_status_1, // 24
gpio_status_0, // 16
gpio_bd})); // 0
assign spi_ad9361_0 = spi0_csn[0];
assign spi_ad9361_1 = spi0_csn[1];

View File

@ -282,35 +282,35 @@ module system_top (
.O (ref_clk));
ad_iobuf #(.DATA_WIDTH(60)) i_iobuf (
.dt (gpio_t[59:0]),
.di (gpio_o[59:0]),
.do (gpio_i[59:0]),
.dio ({ gpio_resetb_1, // 59
gpio_ad5355_lock, // 58
gpio_ad5355_rfen, // 57
gpio_calsw_4_1, // 56
gpio_calsw_3_1, // 55
gpio_calsw_2_0, // 54
gpio_calsw_1_0, // 53
gpio_txnrx_1, // 52
gpio_enable_1, // 51
gpio_en_agc_1, // 50
gpio_txnrx_0, // 49
gpio_enable_0, // 48
gpio_en_agc_0, // 47
gpio_resetb_0, // 46
gpio_open_45_45, // 45
gpio_open_44_44, // 44
gpio_debug_4_1, // 43
gpio_debug_3_1, // 42
gpio_debug_2_0, // 41
gpio_debug_1_0, // 40
gpio_ctl_1, // 36
gpio_ctl_0, // 32
gpio_status_1, // 24
gpio_status_0, // 16
gpio_open_15_15, // 15
gpio_bd})); // 0
.dio_t (gpio_t[59:0]),
.dio_i (gpio_o[59:0]),
.dio_o (gpio_i[59:0]),
.dio_p ({ gpio_resetb_1, // 59
gpio_ad5355_lock, // 58
gpio_ad5355_rfen, // 57
gpio_calsw_4_1, // 56
gpio_calsw_3_1, // 55
gpio_calsw_2_0, // 54
gpio_calsw_1_0, // 53
gpio_txnrx_1, // 52
gpio_enable_1, // 51
gpio_en_agc_1, // 50
gpio_txnrx_0, // 49
gpio_enable_0, // 48
gpio_en_agc_0, // 47
gpio_resetb_0, // 46
gpio_open_45_45, // 45
gpio_open_44_44, // 44
gpio_debug_4_1, // 43
gpio_debug_3_1, // 42
gpio_debug_2_0, // 41
gpio_debug_1_0, // 40
gpio_ctl_1, // 36
gpio_ctl_0, // 32
gpio_status_1, // 24
gpio_status_0, // 16
gpio_open_15_15, // 15
gpio_bd})); // 0
assign spi_ad9361_0 = spi0_csn[0];
assign spi_ad9361_1 = spi0_csn[1];

View File

@ -203,16 +203,16 @@ module system_top (
.spi_sdio (spi_sdio));
ad_iobuf #(.DATA_WIDTH(1)) i_iobuf (
.dt (gpio_t[32]),
.di (gpio_o[32]),
.do (gpio_i[32]),
.dio (adf4351_ld));
.dio_t (gpio_t[32]),
.dio_i (gpio_o[32]),
.dio_o (gpio_i[32]),
.dio_p (adf4351_ld));
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_bd (
.dt (gpio_t[14:0]),
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dio (gpio_bd));
.dio_t (gpio_t[14:0]),
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.adc_clk (adc_clk),

View File

@ -535,34 +535,34 @@ module system_top (
assign spi_clk = spi0_clk;
ad_iobuf #(.DATA_WIDTH(19)) i_iobuf (
.dt (gpio_t[50:32]),
.di (gpio_o[50:32]),
.do (gpio_i[50:32]),
.dio ({ xo_en, // 50
clk_sync, // 49
adf4355_2_pd, // 48
dac_txen0, // 47
dac_txen1, // 46
hmc271_1_reset, // 45
hmc271_2_reset, // 44
hmc349_sel, // 43
hmc922_a, // 42
hmc922_b, // 41
adf4355_2_ld, // 40
adf4355_1_ld, // 39
dac_irq, // 38
adc_fdb, // 37
adc_fda, // 36
clk_gpio[3], // 35
clk_gpio[2], // 34
clk_gpio[1], // 33
clk_gpio[0]})); // 32
.dio_t (gpio_t[50:32]),
.dio_i (gpio_o[50:32]),
.dio_o (gpio_i[50:32]),
.dio_p ({ xo_en, // 50
clk_sync, // 49
adf4355_2_pd, // 48
dac_txen0, // 47
dac_txen1, // 46
hmc271_1_reset, // 45
hmc271_2_reset, // 44
hmc349_sel, // 43
hmc922_a, // 42
hmc922_b, // 41
adf4355_2_ld, // 40
adf4355_1_ld, // 39
dac_irq, // 38
adc_fdb, // 37
adc_fda, // 36
clk_gpio[3], // 35
clk_gpio[2], // 34
clk_gpio[1], // 33
clk_gpio[0]})); // 32
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_bd (
.dt (gpio_t[14:0]),
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dio (gpio_bd));
.dio_t (gpio_t[14:0]),
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.adc_clk (adc_clk),

View File

@ -156,16 +156,16 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(1)) i_gpio_hdmi (
.dt (gpio_t[32]),
.di (gpio_o[32]),
.do (gpio_i[32]),
.dio (hdmi_rx_int));
.dio_t (gpio_t[32]),
.dio_i (gpio_o[32]),
.dio_o (gpio_i[32]),
.dio_p (hdmi_rx_int));
ad_iobuf #(.DATA_WIDTH(15)) i_gpio_bd (
.dt (gpio_t[14:0]),
.di (gpio_o[14:0]),
.do (gpio_i[14:0]),
.dio (gpio_bd));
.dio_t (gpio_t[14:0]),
.dio_i (gpio_o[14:0]),
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -183,34 +183,34 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(1)) i_gpio_hdmi (
.dt (gpio_t[32]),
.di (gpio_o[32]),
.do (gpio_i[32]),
.dio (hdmi_rx_int));
.dio_t (gpio_t[32]),
.dio_i (gpio_o[32]),
.dio_o (gpio_i[32]),
.dio_p (hdmi_rx_int));
ad_iobuf #(
.DATA_WIDTH(32)
) i_iobuf (
.dt(gpio_t[31:0]),
.di(gpio_o[31:0]),
.do(gpio_i[31:0]),
.dio(gpio_bd));
.dio_t(gpio_t[31:0]),
.dio_i(gpio_o[31:0]),
.dio_o(gpio_i[31:0]),
.dio_p(gpio_bd));
ad_iobuf #(
.DATA_WIDTH(2)
) i_iic_mux_scl (
.dt({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.di(iic_mux_scl_o_s),
.do(iic_mux_scl_i_s),
.dio(iic_mux_scl));
.dio_t({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.dio_i(iic_mux_scl_o_s),
.dio_o(iic_mux_scl_i_s),
.dio_p(iic_mux_scl));
ad_iobuf #(
.DATA_WIDTH(2)
) i_iic_mux_sda (
.dt({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.di(iic_mux_sda_o_s),
.do(iic_mux_sda_i_s),
.dio(iic_mux_sda));
.dio_t({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.dio_i(iic_mux_sda_o_s),
.dio_o(iic_mux_sda_i_s),
.dio_p(iic_mux_sda));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -195,40 +195,40 @@ module system_top (
.Q (hdmi_tx_clk));
ad_iobuf #(.DATA_WIDTH(1)) i_gpio_hdmi (
.dt (gpio_t[32]),
.di (gpio_o[32]),
.do (gpio_i[32]),
.dio (hdmiio_int));
.dio_t (gpio_t[32]),
.dio_i (gpio_o[32]),
.dio_o (gpio_i[32]),
.dio_p (hdmiio_int));
ad_iobuf #(.DATA_WIDTH(1)) i_gpio_hdmi_iic_rstn (
.dt (gpio_t[33]),
.di (gpio_o[33]),
.do (gpio_i[33]),
.dio (hdmi_iic_rstn));
.dio_t (gpio_t[33]),
.dio_i (gpio_o[33]),
.dio_o (gpio_i[33]),
.dio_p (hdmi_iic_rstn));
ad_iobuf #(
.DATA_WIDTH(32)
) i_iobuf (
.dt(gpio_t[31:0]),
.di(gpio_o[31:0]),
.do(gpio_i[31:0]),
.dio(gpio_bd));
.dio_t(gpio_t[31:0]),
.dio_i(gpio_o[31:0]),
.dio_o(gpio_i[31:0]),
.dio_p(gpio_bd));
ad_iobuf #(
.DATA_WIDTH(2)
) i_iic_mux_scl (
.dt({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.di(iic_mux_scl_o_s),
.do(iic_mux_scl_i_s),
.dio(iic_mux_scl));
.dio_t({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.dio_i(iic_mux_scl_o_s),
.dio_o(iic_mux_scl_i_s),
.dio_p(iic_mux_scl));
ad_iobuf #(
.DATA_WIDTH(2)
) i_iic_mux_sda (
.dt({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.di(iic_mux_sda_o_s),
.do(iic_mux_sda_i_s),
.dio(iic_mux_sda));
.dio_t({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.dio_i(iic_mux_sda_o_s),
.dio_o(iic_mux_sda_i_s),
.dio_p(iic_mux_sda));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -71,7 +71,7 @@ module system_top (
eth2_rgmii_tx_ctl,
eth2_rgmii_txc,
eth_mdio_io,
eth_mdio_p,
eth_mdio_mdc,
eth_phy_rst_n,
@ -180,7 +180,7 @@ module system_top (
output eth2_rgmii_tx_ctl;
output eth2_rgmii_txc;
inout eth_mdio_io;
inout eth_mdio_p;
output eth_mdio_mdc;
output eth_phy_rst_n;
@ -297,34 +297,34 @@ module system_top (
ad_iobuf #(
.DATA_WIDTH(32))
i_gpio_bd (
.dt(gpio_t[31:0]),
.di(gpio_o[31:0]),
.do(gpio_i[31:0]),
.dio(gpio_bd));
.dio_t(gpio_t[31:0]),
.dio_i(gpio_o[31:0]),
.dio_o(gpio_i[31:0]),
.dio_p(gpio_bd));
ad_iobuf #(
.DATA_WIDTH(2))
i_iic_mux_scl (
.dt({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.di(iic_mux_scl_o_s),
.do(iic_mux_scl_i_s),
.dio(iic_mux_scl));
.dio_t({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.dio_i(iic_mux_scl_o_s),
.dio_o(iic_mux_scl_i_s),
.dio_p(iic_mux_scl));
ad_iobuf #(
.DATA_WIDTH(2))
i_iic_mux_sda (
.dt({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.di(iic_mux_sda_o_s),
.do(iic_mux_sda_i_s),
.dio(iic_mux_sda));
.dio_t({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.dio_i(iic_mux_sda_o_s),
.dio_o(iic_mux_sda_i_s),
.dio_p(iic_mux_sda));
ad_iobuf #(
.DATA_WIDTH(1))
i_mdio_io (
.dt(eth_mdio_t),
.di(eth_mdio_o),
.do(eth_mdio_i),
.dio(eth_mdio_io));
i_mdio_p (
.dio_t(eth_mdio_t),
.dio_i(eth_mdio_o),
.dio_o(eth_mdio_i),
.dio_p(eth_mdio_p));
always @(posedge refclk) begin
if (refclk_rst == 1'b1) begin