axi_adrv9001: Let gate signals have initial value, useful for simulation

main
Laszlo Nagy 2021-01-05 07:17:00 +00:00 committed by Laszlo Nagy
parent b85784ebe8
commit aa180fb272
1 changed files with 2 additions and 2 deletions

View File

@ -64,8 +64,8 @@ module axi_adrv9001_tdd #(
// tx/rx data flow control
output reg tdd_tx_valid,
output reg tdd_rx_valid,
output reg tdd_tx_valid = 1'b1,
output reg tdd_rx_valid = 1'b1,
// bus interface