diff --git a/library/axi_ad9684/axi_ad9684_constr.sdc b/library/axi_ad9684/axi_ad9684_constr.sdc index e69de29bb..841b74eea 100644 --- a/library/axi_ad9684/axi_ad9684_constr.sdc +++ b/library/axi_ad9684/axi_ad9684_constr.sdc @@ -0,0 +1,4 @@ + +set_false_path -to [get_registers *axi_ad9684_if:i_axi_ad9684_if|adc_status_m1*] +set_false_path -to [get_registers *up_delay_cntrl:i_delay_cntrl|up_dlocked_m1*] + diff --git a/projects/daq1/a10gx/system_project.tcl b/projects/daq1/a10gx/system_project.tcl index 8862cc6be..21e458102 100644 --- a/projects/daq1/a10gx/system_project.tcl +++ b/projects/daq1/a10gx/system_project.tcl @@ -15,75 +15,75 @@ set_global_assignment -name TOP_LEVEL_ENTITY system_top # physical interface -set_location_assignment PIN_BA12 -to dac_clk_in ; ## G02 FMC_LPC_CLK1_M2C_P -set_location_assignment PIN_BA13 -to "dac_clk_in(n)" ; ## G03 FMC_LPC_CLK1_M2C_N -set_location_assignment PIN_AY15 -to dac_clk_out ; ## G27 FMC_LPC_LA25_P -set_location_assignment PIN_AY14 -to "dac_clk_out(n)" ; ## G28 FMC_LPC_LA25_N -set_location_assignment PIN_AV20 -to dac_frame_out ; ## H37 FMC_LPC_LA32_P -set_location_assignment PIN_AU20 -to "dac_frame_out(n)" ; ## H38 FMC_LPC_LA32_N -set_location_assignment PIN_AR9 -to dac_data_out[0] ; ## H19 FMC_LPC_LA15_P -set_location_assignment PIN_AT9 -to "dac_data_out[0](n)" ; ## H20 FMC_LPC_LA15_N -set_location_assignment PIN_AU8 -to dac_data_out[1] ; ## G21 FMC_LPC_LA20_P -set_location_assignment PIN_AT8 -to "dac_data_out[1](n)" ; ## G22 FMC_LPC_LA20_N -set_location_assignment PIN_AU11 -to dac_data_out[2] ; ## H22 FMC_LPC_LA19_P -set_location_assignment PIN_AU12 -to "dac_data_out[2](n)" ; ## H23 FMC_LPC_LA19_N -set_location_assignment PIN_AV19 -to dac_data_out[3] ; ## D20 FMC_LPC_LA17_CC_P -set_location_assignment PIN_AW19 -to "dac_data_out[3](n)" ; ## D21 FMC_LPC_LA17_CC_N -set_location_assignment PIN_AU18 -to dac_data_out[4] ; ## D23 FMC_LPC_LA23_P -set_location_assignment PIN_AT18 -to "dac_data_out[4](n)" ; ## D24 FMC_LPC_LA23_N -set_location_assignment PIN_AW12 -to dac_data_out[5] ; ## G24 FMC_LPC_LA22_P -set_location_assignment PIN_AY12 -to "dac_data_out[5](n)" ; ## G25 FMC_LPC_LA22_N -set_location_assignment PIN_AU21 -to dac_data_out[6] ; ## C22 FMC_LPC_LA18_CC_P -set_location_assignment PIN_AV21 -to "dac_data_out[6](n)" ; ## C23 FMC_LPC_LA18_CC_N -set_location_assignment PIN_AY10 -to dac_data_out[7] ; ## H25 FMC_LPC_LA21_P -set_location_assignment PIN_AY11 -to "dac_data_out[7](n)" ; ## H26 FMC_LPC_LA21_N -set_location_assignment PIN_AT19 -to dac_data_out[8] ; ## D26 FMC_LPC_LA26_P -set_location_assignment PIN_AT20 -to "dac_data_out[8](n)" ; ## D27 FMC_LPC_LA26_N -set_location_assignment PIN_BB15 -to dac_data_out[9] ; ## H28 FMC_LPC_LA24_P -set_location_assignment PIN_BC15 -to "dac_data_out[9](n)" ; ## H29 FMC_LPC_LA24_N -set_location_assignment PIN_AP21 -to dac_data_out[10] ; ## C26 FMC_LPC_LA27_P -set_location_assignment PIN_AR21 -to "dac_data_out[10](n)" ; ## C27 FMC_LPC_LA27_N -set_location_assignment PIN_BA15 -to dac_data_out[11] ; ## G30 FMC_LPC_LA29_P -set_location_assignment PIN_BA14 -to "dac_data_out[11](n)" ; ## G31 FMC_LPC_LA29_N -set_location_assignment PIN_AY16 -to dac_data_out[12] ; ## H31 FMC_LPC_LA28_P -set_location_assignment PIN_AW16 -to "dac_data_out[12](n)" ; ## H32 FMC_LPC_LA28_N -set_location_assignment PIN_BB17 -to dac_data_out[13] ; ## G33 FMC_LPC_LA31_P -set_location_assignment PIN_BB18 -to "dac_data_out[13](n)" ; ## G34 FMC_LPC_LA31_N -set_location_assignment PIN_BC18 -to dac_data_out[14] ; ## H34 FMC_LPC_LA30_P -set_location_assignment PIN_BD18 -to "dac_data_out[14](n)" ; ## H35 FMC_LPC_LA30_N -set_location_assignment PIN_AY17 -to dac_data_out[15] ; ## G36 FMC_LPC_LA33_P -set_location_assignment PIN_AW17 -to "dac_data_out[15](n)" ; ## G37 FMC_LPC_LA33_N +set_location_assignment PIN_BA12 -to dac_clk_in ; ## G02 FMC_LPC_CLK1_M2C_P (PIN_BA12, 3C, TERM_ON_BOARD) +set_location_assignment PIN_BA13 -to "dac_clk_in(n)" ; ## G03 FMC_LPC_CLK1_M2C_N (PIN_BA13, 3C, TERM_ON_BOARD) +set_location_assignment PIN_AY15 -to dac_clk_out ; ## G27 FMC_LPC_LA25_P (PIN_AY15, 3B) +set_location_assignment PIN_AY14 -to "dac_clk_out(n)" ; ## G28 FMC_LPC_LA25_N (PIN_AY14, 3B) +set_location_assignment PIN_AV20 -to dac_frame_out ; ## H37 FMC_LPC_LA32_P (PIN_AV20, 3B) +set_location_assignment PIN_AU20 -to "dac_frame_out(n)" ; ## H38 FMC_LPC_LA32_N (PIN_AU20, 3B) +set_location_assignment PIN_AR9 -to dac_data_out[0] ; ## H19 FMC_LPC_LA15_P (PIN_AR9 , 3C) +set_location_assignment PIN_AT9 -to "dac_data_out[0](n)" ; ## H20 FMC_LPC_LA15_N (PIN_AT9 , 3C) +set_location_assignment PIN_AU8 -to dac_data_out[1] ; ## G21 FMC_LPC_LA20_P (PIN_AU8 , 3C) +set_location_assignment PIN_AT8 -to "dac_data_out[1](n)" ; ## G22 FMC_LPC_LA20_N (PIN_AT8 , 3C) +set_location_assignment PIN_AU11 -to dac_data_out[2] ; ## H22 FMC_LPC_LA19_P (PIN_AU11, 3C) +set_location_assignment PIN_AU12 -to "dac_data_out[2](n)" ; ## H23 FMC_LPC_LA19_N (PIN_AU12, 3C) +set_location_assignment PIN_AV19 -to dac_data_out[3] ; ## D20 FMC_LPC_LA17_CC_P (PIN_AV19, 3B) +set_location_assignment PIN_AW19 -to "dac_data_out[3](n)" ; ## D21 FMC_LPC_LA17_CC_N (PIN_AW19, 3B) +set_location_assignment PIN_AU18 -to dac_data_out[4] ; ## D23 FMC_LPC_LA23_P (PIN_AU18, 3B) +set_location_assignment PIN_AT18 -to "dac_data_out[4](n)" ; ## D24 FMC_LPC_LA23_N (PIN_AT18, 3B) +set_location_assignment PIN_AW12 -to dac_data_out[5] ; ## G24 FMC_LPC_LA22_P (PIN_AW12, 3C) +set_location_assignment PIN_AY12 -to "dac_data_out[5](n)" ; ## G25 FMC_LPC_LA22_N (PIN_AY12, 3C) +set_location_assignment PIN_AU21 -to dac_data_out[6] ; ## C22 FMC_LPC_LA18_CC_P (PIN_AU21, 3B) +set_location_assignment PIN_AV21 -to "dac_data_out[6](n)" ; ## C23 FMC_LPC_LA18_CC_N (PIN_AV21, 3B) +set_location_assignment PIN_AY10 -to dac_data_out[7] ; ## H25 FMC_LPC_LA21_P (PIN_AY10, 3C) +set_location_assignment PIN_AY11 -to "dac_data_out[7](n)" ; ## H26 FMC_LPC_LA21_N (PIN_AY11, 3C) +set_location_assignment PIN_AT19 -to dac_data_out[8] ; ## D26 FMC_LPC_LA26_P (PIN_AT19, 3B) +set_location_assignment PIN_AT20 -to "dac_data_out[8](n)" ; ## D27 FMC_LPC_LA26_N (PIN_AT20, 3B) +set_location_assignment PIN_BB15 -to dac_data_out[9] ; ## H28 FMC_LPC_LA24_P (PIN_BB15, 3C) +set_location_assignment PIN_BC15 -to "dac_data_out[9](n)" ; ## H29 FMC_LPC_LA24_N (PIN_BC15, 3C) +set_location_assignment PIN_AW13 -to dac_data_out[10] ; ## D14 FMC_LPC_LA09_P (PIN_AW13, 3C)-- ## C26 FMC_LPC_LA27_P (PIN_AP21, 3B) +set_location_assignment PIN_AV13 -to "dac_data_out[10](n)" ; ## D15 FMC_LPC_LA09_N (PIN_AV13, 3C)-- ## C27 FMC_LPC_LA27_N (PIN_AR21, 3B) +set_location_assignment PIN_BA15 -to dac_data_out[11] ; ## G30 FMC_LPC_LA29_P (PIN_BA15, 3C) +set_location_assignment PIN_BA14 -to "dac_data_out[11](n)" ; ## G31 FMC_LPC_LA29_N (PIN_BA14, 3C) +set_location_assignment PIN_AV11 -to dac_data_out[12] ; ## D11 FMC_LPC_LA05_P (PIN_AV11, 3C)-- ## H31 FMC_LPC_LA28_P (PIN_AY16, 3B) +set_location_assignment PIN_AW11 -to "dac_data_out[12](n)" ; ## D12 FMC_LPC_LA05_N (PIN_AW11, 3C)-- ## H32 FMC_LPC_LA28_N (PIN_AW16, 3B) +set_location_assignment PIN_BB17 -to dac_data_out[13] ; ## G33 FMC_LPC_LA31_P (PIN_BB17, 3C) +set_location_assignment PIN_BB18 -to "dac_data_out[13](n)" ; ## G34 FMC_LPC_LA31_N (PIN_BB18, 3C) +set_location_assignment PIN_BC18 -to dac_data_out[14] ; ## H34 FMC_LPC_LA30_P (PIN_BC18, 3C) +set_location_assignment PIN_BD18 -to "dac_data_out[14](n)" ; ## H35 FMC_LPC_LA30_N (PIN_BD18, 3C) +set_location_assignment PIN_AT10 -to dac_data_out[15] ; ## D08 FMC_LPC_LA01_CC_P (PIN_AT10, 3C, TERM_ON_BOARD)-- ## G36 FMC_LPC_LA33_P (PIN_AY17, 3B) +set_location_assignment PIN_AR11 -to "dac_data_out[15](n)" ; ## D09 FMC_LPC_LA01_CC_N (PIN_AR11, 3C, TERM_ON_BOARD)-- ## G37 FMC_LPC_LA33_N (PIN_AW17, 3B) -set_location_assignment PIN_AV15 -to adc_clk_in ; ## G06 FMC_LPC_LA00_CC_P -set_location_assignment PIN_AU15 -to "adc_clk_in(n)" ; ## G07 FMC_LPC_LA00_CC_N -set_location_assignment PIN_AR15 -to adc_data_in[0] ; ## C14 FMC_LPC_LA10_P -set_location_assignment PIN_AT15 -to "adc_data_in[0](n)" ; ## C15 FMC_LPC_LA10_N -set_location_assignment PIN_AW18 -to adc_data_in[1] ; ## C18 FMC_LPC_LA14_P -set_location_assignment PIN_AV18 -to "adc_data_in[1](n)" ; ## C19 FMC_LPC_LA14_N -set_location_assignment PIN_AR17 -to adc_data_in[2] ; ## D17 FMC_LPC_LA13_P -set_location_assignment PIN_AP17 -to "adc_data_in[2](n)" ; ## D18 FMC_LPC_LA13_N -set_location_assignment PIN_AT14 -to adc_data_in[3] ; ## H16 FMC_LPC_LA11_P -set_location_assignment PIN_AR14 -to "adc_data_in[3](n)" ; ## H17 FMC_LPC_LA11_N -set_location_assignment PIN_AR16 -to adc_data_in[4] ; ## G15 FMC_LPC_LA12_P -set_location_assignment PIN_AP16 -to "adc_data_in[4](n)" ; ## G16 FMC_LPC_LA12_N -set_location_assignment PIN_AW13 -to adc_data_in[5] ; ## D14 FMC_LPC_LA09_P -set_location_assignment PIN_AV13 -to "adc_data_in[5](n)" ; ## D15 FMC_LPC_LA09_N -set_location_assignment PIN_AT17 -to adc_data_in[6] ; ## H13 FMC_LPC_LA07_P -set_location_assignment PIN_AU17 -to "adc_data_in[6](n)" ; ## H14 FMC_LPC_LA07_N -set_location_assignment PIN_AP18 -to adc_data_in[7] ; ## G12 FMC_LPC_LA08_P -set_location_assignment PIN_AN19 -to "adc_data_in[7](n)" ; ## G13 FMC_LPC_LA08_N -set_location_assignment PIN_AV11 -to adc_data_in[8] ; ## D11 FMC_LPC_LA05_P -set_location_assignment PIN_AW11 -to "adc_data_in[8](n)" ; ## D12 FMC_LPC_LA05_N -set_location_assignment PIN_AN20 -to adc_data_in[9] ; ## H10 FMC_LPC_LA04_P -set_location_assignment PIN_AP19 -to "adc_data_in[9](n)" ; ## H11 FMC_LPC_LA04_N -set_location_assignment PIN_AR20 -to adc_data_in[10] ; ## G09 FMC_LPC_LA03_P -set_location_assignment PIN_AR19 -to "adc_data_in[10](n)" ; ## G10 FMC_LPC_LA03_N -set_location_assignment PIN_AV14 -to adc_data_in[11] ; ## C10 FMC_LPC_LA06_P -set_location_assignment PIN_AW14 -to "adc_data_in[11](n)" ; ## C11 FMC_LPC_LA06_N -set_location_assignment PIN_AR22 -to adc_data_in[12] ; ## H07 FMC_LPC_LA02_P -set_location_assignment PIN_AT22 -to "adc_data_in[12](n)" ; ## H08 FMC_LPC_LA02_N -set_location_assignment PIN_AT10 -to adc_data_in[13] ; ## D08 FMC_LPC_LA01_CC_P -set_location_assignment PIN_AR11 -to "adc_data_in[13](n)" ; ## D09 FMC_LPC_LA01_CC_N +set_location_assignment PIN_AV15 -to adc_clk_in ; ## G06 FMC_LPC_LA00_CC_P (PIN_AV15, 3B, TERM_ON_BOARD) +set_location_assignment PIN_AU15 -to "adc_clk_in(n)" ; ## G07 FMC_LPC_LA00_CC_N (PIN_AU15, 3B, TERM_ON_BOARD) +set_location_assignment PIN_AR15 -to adc_data_in[0] ; ## C14 FMC_LPC_LA10_P (PIN_AR15, 3B) +set_location_assignment PIN_AT15 -to "adc_data_in[0](n)" ; ## C15 FMC_LPC_LA10_N (PIN_AT15, 3B) +set_location_assignment PIN_AW18 -to adc_data_in[1] ; ## C18 FMC_LPC_LA14_P (PIN_AW18, 3B) +set_location_assignment PIN_AV18 -to "adc_data_in[1](n)" ; ## C19 FMC_LPC_LA14_N (PIN_AV18, 3B) +set_location_assignment PIN_AR17 -to adc_data_in[2] ; ## D17 FMC_LPC_LA13_P (PIN_AR17, 3B) +set_location_assignment PIN_AP17 -to "adc_data_in[2](n)" ; ## D18 FMC_LPC_LA13_N (PIN_AP17, 3B) +set_location_assignment PIN_AT14 -to adc_data_in[3] ; ## H16 FMC_LPC_LA11_P (PIN_AT14, 3B) +set_location_assignment PIN_AR14 -to "adc_data_in[3](n)" ; ## H17 FMC_LPC_LA11_N (PIN_AR14, 3B) +set_location_assignment PIN_AR16 -to adc_data_in[4] ; ## G15 FMC_LPC_LA12_P (PIN_AR16, 3B) +set_location_assignment PIN_AP16 -to "adc_data_in[4](n)" ; ## G16 FMC_LPC_LA12_N (PIN_AP16, 3B) +set_location_assignment PIN_AP21 -to adc_data_in[5] ; ## C26 FMC_LPC_LA27_P (PIN_AP21, 3B)-- ## D14 FMC_LPC_LA09_P (PIN_AW13, 3C) +set_location_assignment PIN_AR21 -to "adc_data_in[5](n)" ; ## C27 FMC_LPC_LA27_N (PIN_AR21, 3B)-- ## D15 FMC_LPC_LA09_N (PIN_AV13, 3C) +set_location_assignment PIN_AT17 -to adc_data_in[6] ; ## H13 FMC_LPC_LA07_P (PIN_AT17, 3B) +set_location_assignment PIN_AU17 -to "adc_data_in[6](n)" ; ## H14 FMC_LPC_LA07_N (PIN_AU17, 3B) +set_location_assignment PIN_AP18 -to adc_data_in[7] ; ## G12 FMC_LPC_LA08_P (PIN_AP18, 3B) +set_location_assignment PIN_AN19 -to "adc_data_in[7](n)" ; ## G13 FMC_LPC_LA08_N (PIN_AN19, 3B) +set_location_assignment PIN_AY16 -to adc_data_in[8] ; ## H31 FMC_LPC_LA28_P (PIN_AY16, 3B)-- ## D11 FMC_LPC_LA05_P (PIN_AV11, 3C) +set_location_assignment PIN_AW16 -to "adc_data_in[8](n)" ; ## H32 FMC_LPC_LA28_N (PIN_AW16, 3B)-- ## D12 FMC_LPC_LA05_N (PIN_AW11, 3C) +set_location_assignment PIN_AN20 -to adc_data_in[9] ; ## H10 FMC_LPC_LA04_P (PIN_AN20, 3B) +set_location_assignment PIN_AP19 -to "adc_data_in[9](n)" ; ## H11 FMC_LPC_LA04_N (PIN_AP19, 3B) +set_location_assignment PIN_AR20 -to adc_data_in[10] ; ## G09 FMC_LPC_LA03_P (PIN_AR20, 3B) +set_location_assignment PIN_AR19 -to "adc_data_in[10](n)" ; ## G10 FMC_LPC_LA03_N (PIN_AR19, 3B) +set_location_assignment PIN_AV14 -to adc_data_in[11] ; ## C10 FMC_LPC_LA06_P (PIN_AV14, 3B) +set_location_assignment PIN_AW14 -to "adc_data_in[11](n)" ; ## C11 FMC_LPC_LA06_N (PIN_AW14, 3B) +set_location_assignment PIN_AR22 -to adc_data_in[12] ; ## H07 FMC_LPC_LA02_P (PIN_AR22, 3B) +set_location_assignment PIN_AT22 -to "adc_data_in[12](n)" ; ## H08 FMC_LPC_LA02_N (PIN_AT22, 3B) +set_location_assignment PIN_AY17 -to adc_data_in[13] ; ## G36 FMC_LPC_LA33_P (PIN_AY17, 3B)-- ## D08 FMC_LPC_LA01_CC_P (PIN_AT10, 3C, TERM_ON_BOARD) +set_location_assignment PIN_AW17 -to "adc_data_in[13](n)" ; ## G37 FMC_LPC_LA33_N (PIN_AW17, 3B)-- ## D09 FMC_LPC_LA01_CC_N (PIN_AR11, 3C, TERM_ON_BOARD) set_instance_assignment -name IO_STANDARD LVDS -to dac_clk_in set_instance_assignment -name IO_STANDARD LVDS -to dac_clk_out diff --git a/projects/daq1/common/daq1_qsys.tcl b/projects/daq1/common/daq1_qsys.tcl index c23a6a97a..e99d7b0e5 100644 --- a/projects/daq1/common/daq1_qsys.tcl +++ b/projects/daq1/common/daq1_qsys.tcl @@ -5,16 +5,19 @@ add_instance axi_ad9122 axi_ad9122 1.0 set_instance_parameter_value axi_ad9122 {ID} {0} - add_connection sys_clk.clk_reset axi_ad9122.s_axi_reset add_connection sys_clk.clk axi_ad9122.s_axi_clock -add_connection sys_cpu.data_master axi_ad9122.s_axi +add_interface axi_ad9122_device_if conduit end +set_interface_property axi_ad9122_device_if EXPORT_OF axi_ad9122.device_if # DAC unpack add_instance util_ad9122_upack util_upack 1.0 -set_instance_parameter_value util_ad9122_upack {CHANNEL_DATA_WIDTH} {64} set_instance_parameter_value util_ad9122_upack {NUM_OF_CHANNELS} {2} +set_instance_parameter_value util_ad9122_upack {CHANNEL_DATA_WIDTH} {64} +add_connection axi_ad9122.if_dac_div_clk util_ad9122_upack.if_dac_clk +add_connection util_ad9122_upack.dac_ch_0 axi_ad9122.dac_ch_0 +add_connection util_ad9122_upack.dac_ch_1 axi_ad9122.dac_ch_1 # DAC DMA @@ -28,45 +31,33 @@ set_instance_parameter_value axi_ad9122_dma {SYNC_TRANSFER_START} {0} set_instance_parameter_value axi_ad9122_dma {AXI_SLICE_SRC} {0} set_instance_parameter_value axi_ad9122_dma {AXI_SLICE_DEST} {0} set_instance_parameter_value axi_ad9122_dma {DMA_LENGTH_WIDTH} {24} - add_connection sys_clk.clk_reset axi_ad9122_dma.s_axi_reset add_connection sys_clk.clk axi_ad9122_dma.s_axi_clock -add_connection sys_cpu.data_master axi_ad9122_dma.s_axi add_connection sys_ddr3_cntrl.emif_usr_reset_n axi_ad9122_dma.m_src_axi_reset add_connection sys_ddr3_cntrl.emif_usr_clk axi_ad9122_dma.m_src_axi_clock -add_connection axi_ad9122_dma.m_src_axi sys_ddr3_cntrl.ctrl_amm_0 -add_connection sys_cpu.irq axi_ad9122_dma.interrupt_sender -add_connection sys_clk.clk axi_ad9122_dma.if_fifo_rd_clk - -# DAC path - -add_connection axi_ad9122.if_dac_div_clk util_ad9122_upack.if_dac_clk +add_connection axi_ad9122.if_dac_div_clk axi_ad9122_dma.if_fifo_rd_clk add_connection util_ad9122_upack.if_dac_valid axi_ad9122_dma.if_fifo_rd_en -add_connection util_ad9122_upack.if_dac_data axi_ad9122_dma.if_fifo_rd_dout +add_connection axi_ad9122_dma.if_fifo_rd_dout util_ad9122_upack.if_dac_data add_connection axi_ad9122_dma.if_fifo_rd_underflow axi_ad9122.if_dac_dunf -add_connection util_ad9122_upack.dac_ch_0 axi_ad9122.dac_ch_0 -add_connection util_ad9122_upack.dac_ch_1 axi_ad9122.dac_ch_1 # ADC core add_instance axi_ad9684 axi_ad9684 1.0 set_instance_parameter_value axi_ad9684 {OR_STATUS} {0} - add_connection sys_clk.clk_reset axi_ad9684.s_axi_reset add_connection sys_clk.clk axi_ad9684.s_axi_clock -add_connection sys_cpu.data_master axi_ad9684.s_axi +add_interface axi_ad9684_device_if conduit end +set_interface_property axi_ad9684_device_if EXPORT_OF axi_ad9684.device_if # ADC pack add_instance util_ad9684_cpack util_cpack 1.0 -set_instance_parameter_value util_ad9684_cpack {CHANNEL_DATA_WIDTH} {32} set_instance_parameter_value util_ad9684_cpack {NUM_OF_CHANNELS} {2} - +set_instance_parameter_value util_ad9684_cpack {CHANNEL_DATA_WIDTH} {32} add_connection sys_clk.clk_reset util_ad9684_cpack.if_adc_rst -add_connection sys_ddr3_cntrl.emif_usr_reset_n util_ad9684_cpack.if_adc_rst add_connection axi_ad9684.if_adc_clk util_ad9684_cpack.if_adc_clk -add_connection util_ad9684_cpack.adc_ch_0 axi_ad9684.adc_ch_0 -add_connection util_ad9684_cpack.adc_ch_1 axi_ad9684.adc_ch_1 +add_connection axi_ad9684.adc_ch_0 util_ad9684_cpack.adc_ch_0 +add_connection axi_ad9684.adc_ch_1 util_ad9684_cpack.adc_ch_1 # ADC FIFO @@ -74,7 +65,6 @@ add_instance ad9684_adcfifo util_adcfifo 1.0 set_instance_parameter_value ad9684_adcfifo {ADC_DATA_WIDTH} {64} set_instance_parameter_value ad9684_adcfifo {DMA_DATA_WIDTH} {64} set_instance_parameter_value ad9684_adcfifo {DMA_ADDRESS_WIDTH} {16} - add_connection sys_clk.clk_reset ad9684_adcfifo.if_adc_rst add_connection sys_ddr3_cntrl.emif_usr_reset_n ad9684_adcfifo.if_adc_rst add_connection axi_ad9684.if_adc_clk ad9684_adcfifo.if_adc_clk @@ -94,46 +84,40 @@ set_instance_parameter_value axi_ad9684_dma {DMA_LENGTH_WIDTH} {24} set_instance_parameter_value axi_ad9684_dma {DMA_2D_TRANSFER} {0} set_instance_parameter_value axi_ad9684_dma {FIFO_SIZE} {16} set_instance_parameter_value axi_ad9684_dma {CYCLIC} {0} - +add_connection sys_clk.clk_reset axi_ad9684_dma.s_axi_reset +add_connection sys_clk.clk axi_ad9684_dma.s_axi_clock +add_connection sys_ddr3_cntrl.emif_usr_reset_n axi_ad9684_dma.m_dest_axi_reset +add_connection sys_ddr3_cntrl.emif_usr_clk axi_ad9684_dma.m_dest_axi_clock add_connection sys_ddr3_cntrl.emif_usr_clk axi_ad9684_dma.if_s_axis_aclk add_connection ad9684_adcfifo.if_dma_wr axi_ad9684_dma.if_s_axis_valid add_connection ad9684_adcfifo.if_dma_wdata axi_ad9684_dma.if_s_axis_data -add_connection ad9684_adcfifo.if_dma_wready axi_ad9684_dma.if_s_axis_ready -add_connection ad9684_adcfifo.if_dma_xfer_req axi_ad9684_dma.if_s_axis_xfer_req -add_connection sys_clk.clk_reset axi_ad9684_dma.s_axi_reset -add_connection sys_clk.clk axi_ad9684_dma.s_axi_clock -add_connection sys_cpu.data_master axi_ad9684_dma.s_axi -add_connection sys_ddr3_cntrl.emif_usr_reset_n axi_ad9684_dma.m_dest_axi_reset -add_connection sys_ddr3_cntrl.emif_usr_clk axi_ad9684_dma.m_dest_axi_clock -add_connection axi_ad9684_dma.m_dest_axi sys_ddr3_cntrl.ctrl_amm_0 -add_connection sys_cpu.irq axi_ad9684_dma.interrupt_sender - -# setting interface property - -set_interface_property axi_ad9122_device_if EXPORT_OF axi_ad9122.device_if -set_interface_property axi_ad9684_device_if EXPORT_OF axi_ad9684.device_if +add_connection axi_ad9684_dma.if_s_axis_ready ad9684_adcfifo.if_dma_wready +add_connection axi_ad9684_dma.if_s_axis_xfer_req ad9684_adcfifo.if_dma_xfer_req # IRQ bridge for the CPLD IRQ signal add_instance irq_bridge altera_irq_bridge 16.0 set_instance_parameter_value irq_bridge {IRQ_WIDTH} {1} - add_connection sys_clk.clk_reset irq_bridge.clk_reset add_connection sys_clk.clk irq_bridge.clk -add_connection sys_cpu.irq irq_bridge.sender0_irq - +add_interface spi_int conduit end set_interface_property spi_int EXPORT_OF irq_bridge.receiver_irq -# addresses +# cpu interconnects -set_connection_parameter_value sys_cpu.data_master/axi_ad9122.s_axi baseAddress {0x44A00000} -set_connection_parameter_value sys_cpu.data_master/axi_ad9684.s_axi baseAddress {0x44A20000} -set_connection_parameter_value sys_cpu.data_master/axi_ad9122_dma.s_axi baseAddress {0x44A40000} -set_connection_parameter_value sys_cpu.data_master/axi_ad9684_dma.s_axi baseAddress {0x44A60000} +ad_cpu_interconnect 0x44A00000 axi_ad9122.s_axi +ad_cpu_interconnect 0x44A20000 axi_ad9684.s_axi +ad_cpu_interconnect 0x44A40000 axi_ad9122_dma.s_axi +ad_cpu_interconnect 0x44A60000 axi_ad9684_dma.s_axi + +# dma interconnects + +ad_dma_interconnect axi_ad9684_dma.m_dest_axi +ad_dma_interconnect axi_ad9122_dma.m_src_axi # interrupts -set_connection_parameter_value sys_cpu.irq/irq_bridge.sender0_irq irqNumber {9} -set_connection_parameter_value sys_cpu.irq/axi_ad9122_dma.interrupt_sender irqNumber {10} -set_connection_parameter_value sys_cpu.irq/axi_ad9684_dma.interrupt_sender irqNumber {11} +ad_cpu_interrupt 9 irq_bridge.sender0_irq +ad_cpu_interrupt 10 axi_ad9684_dma.interrupt_sender +ad_cpu_interrupt 11 axi_ad9122_dma.interrupt_sender