daq2_kcu105: Change implementation strategy

Use Performance_Retiming strategy to meet timing.
main
AndreiGrozav 2018-09-26 12:22:53 +01:00 committed by AndreiGrozav
parent 9c6da0ff45
commit b0b149244b
1 changed files with 3 additions and 0 deletions

View File

@ -11,6 +11,9 @@ adi_project_files daq2_kcu105 [list \
"$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \
"$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" ]
## To improve timing in DDR4 MIG
set_property strategy Performance_Retiming [get_runs impl_1]
adi_project_run daq2_kcu105