imageon_loopback: Delete directory

This project will not be supported from the next releases.
main
Istvan Csomortani 2015-09-29 14:53:44 +03:00
parent b765be568f
commit b4252a8512
7 changed files with 0 additions and 474 deletions

View File

@ -1,21 +0,0 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
.PHONY: all clean clean-all
all:
-make -C zed all
clean:
make -C zed clean
clean-all:
make -C zed clean-all
####################################################################################
####################################################################################

View File

@ -1,10 +0,0 @@
# imageon iic
set axi_iic_imageon [create_bd_cell -type ip -vlnv xilinx.com:ip:axi_iic:2.0 axi_iic_imageon]
set_property -dict [list CONFIG.USE_BOARD_FLOW {true}] $axi_iic_imageon
set_property -dict [list CONFIG.IIC_BOARD_INTERFACE {Custom}] $axi_iic_imageon
create_bd_intf_port -mode Master -vlnv xilinx.com:interface:iic_rtl:1.0 iic_imageon
ad_connect iic_imageon axi_iic_imageon/iic
ad_cpu_interconnect 0x43C40000 axi_iic_imageon
ad_cpu_interrupt ps-11 mb-11 axi_iic_imageon/iic2intc_irpt

View File

@ -1,69 +0,0 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS := system_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_top.v
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += system_constr.xdc
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../imageon_loopback/common/imageon_loopback_bd.tcl
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all lib clean clean-all
all: lib imageon_loopback_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_i2c_mixer clean
imageon_loopback_zed.sdk/system_top.hdf: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> imageon_loopback_zed_vivado.log 2>&1
lib:
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################

View File

@ -1,4 +0,0 @@
source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl
source $ad_hdl_dir/projects/imageon_loopback/common/imageon_loopback_bd.tcl

View File

@ -1,55 +0,0 @@
# fmc hdmi rx (adv7611)
set_property -dict {PACKAGE_PIN D18 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_clk] ; ## G2 FMC_LPC_CLK1_M2C_P
set_property -dict {PACKAGE_PIN A17 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[0]] ; ## H32 FMC_LPC_LA28_N
set_property -dict {PACKAGE_PIN A16 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[1]] ; ## H31 FMC_LPC_LA28_P
set_property -dict {PACKAGE_PIN C18 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[2]] ; ## G31 FMC_LPC_LA29_N
set_property -dict {PACKAGE_PIN D21 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[3]] ; ## C27 FMC_LPC_LA27_N
set_property -dict {PACKAGE_PIN E18 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[4]] ; ## D27 FMC_LPC_LA26_N
set_property -dict {PACKAGE_PIN C17 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[5]] ; ## G30 FMC_LPC_LA29_P
set_property -dict {PACKAGE_PIN E21 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[6]] ; ## C26 FMC_LPC_LA27_P
set_property -dict {PACKAGE_PIN F18 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[7]] ; ## D26 FMC_LPC_LA26_P
set_property -dict {PACKAGE_PIN A22 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[8]] ; ## H38 FMC_LPC_LA32_N
set_property -dict {PACKAGE_PIN A21 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[9]] ; ## H37 FMC_LPC_LA32_P
set_property -dict {PACKAGE_PIN B22 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[10]] ; ## G37 FMC_LPC_LA33_N
set_property -dict {PACKAGE_PIN B21 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[11]] ; ## G36 FMC_LPC_LA33_P
set_property -dict {PACKAGE_PIN B15 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[12]] ; ## H35 FMC_LPC_LA30_N
set_property -dict {PACKAGE_PIN C15 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[13]] ; ## H34 FMC_LPC_LA30_P
set_property -dict {PACKAGE_PIN B17 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[14]] ; ## G34 FMC_LPC_LA31_N
set_property -dict {PACKAGE_PIN B16 IOSTANDARD LVCMOS25} [get_ports hdmi_rx_data[15]] ; ## G33 FMC_LPC_LA31_P
# fmc hdmi tx (adv7511)
set_property -dict {PACKAGE_PIN C19 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_clk] ; ## G3 FMC_LPC_CLK1_M2C_N
set_property -dict {PACKAGE_PIN C22 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[0]] ; ## G28 FMC_LPC_LA25_N
set_property -dict {PACKAGE_PIN D22 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[1]] ; ## G27 FMC_LPC_LA25_P
set_property -dict {PACKAGE_PIN E20 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[2]] ; ## H26 FMC_LPC_LA21_N
set_property -dict {PACKAGE_PIN D15 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[3]] ; ## D24 FMC_LPC_LA23_N
set_property -dict {PACKAGE_PIN E19 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[4]] ; ## H25 FMC_LPC_LA21_P
set_property -dict {PACKAGE_PIN F19 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[5]] ; ## G25 FMC_LPC_LA22_N
set_property -dict {PACKAGE_PIN C20 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[6]] ; ## C23 FMC_LPC_LA18_CC_N
set_property -dict {PACKAGE_PIN E15 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[7]] ; ## D23 FMC_LPC_LA23_P
set_property -dict {PACKAGE_PIN G19 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[8]] ; ## G24 FMC_LPC_LA22_P
set_property -dict {PACKAGE_PIN G16 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[9]] ; ## H23 FMC_LPC_LA19_N
set_property -dict {PACKAGE_PIN D20 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[10]] ; ## C22 FMC_LPC_LA18_CC_P
set_property -dict {PACKAGE_PIN B20 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[11]] ; ## D21 FMC_LPC_LA17_CC_N
set_property -dict {PACKAGE_PIN G15 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[12]] ; ## H22 FMC_LPC_LA19_P
set_property -dict {PACKAGE_PIN G21 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[13]] ; ## G22 FMC_LPC_LA20_N
set_property -dict {PACKAGE_PIN B19 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[14]] ; ## D20 FMC_LPC_LA17_CC_P
set_property -dict {PACKAGE_PIN G20 IOSTANDARD LVCMOS25} [get_ports hdmi_tx_data[15]] ; ## G21 FMC_LPC_LA20_P
# iic pins
set_property -dict {PACKAGE_PIN J20 IOSTANDARD LVCMOS25} [get_ports hdmi_iic_scl] ; ## G18 FMC_LPC_LA16_P
set_property -dict {PACKAGE_PIN K21 IOSTANDARD LVCMOS25} [get_ports hdmi_iic_sda] ; ## G19 FMC_LPC_LA16_N
set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports hdmi_iic_rstn] ; ## D9 FMC_LPC_LA01_CC_N
# hdmiio_int
set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports hdmiio_int] ; ## D08 FMC_LPC_LA01_CC_P
set_property -dict {IOB TRUE} [get_ports {hdmi_rx_data[*] hdmi_tx_data[*]}];
create_clock -period 6.66667 -name hdmi_rx_clk [get_ports hdmi_rx_clk]

View File

@ -1,13 +0,0 @@
source ../../scripts/adi_env.tcl
source $ad_hdl_dir/projects/scripts/adi_project.tcl
source $ad_hdl_dir/projects/scripts/adi_board.tcl
adi_project_create imageon_loopback_zed
adi_project_files imageon_loopback_zed [list \
"system_top.v" \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \
"system_constr.xdc" \
"$ad_hdl_dir/library/common/ad_iobuf.v"]
adi_project_run imageon_loopback_zed

View File

@ -1,302 +0,0 @@
// ***************************************************************************
// ***************************************************************************
// Copyright 2011(c) Analog Devices, Inc.
//
// All rights reserved.
//
// Redistribution and use in source and binary forms, with or without modification,
// are permitted provided that the following conditions are met:
// - Redistributions of source code must retain the above copyright
// notice, this list of conditions and the following disclaimer.
// - Redistributions in binary form must reproduce the above copyright
// notice, this list of conditions and the following disclaimer in
// the documentation and/or other materials provided with the
// distribution.
// - Neither the name of Analog Devices, Inc. nor the names of its
// contributors may be used to endorse or promote products derived
// from this software without specific prior written permission.
// - The use of this software may or may not infringe the patent rights
// of one or more patent holders. This license does not release you
// from the requirement that you obtain separate licenses from these
// patent holders to use this software.
// - Use of the software either in source or binary form, must be run
// on or directly connected to an Analog Devices Inc. component.
//
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
// PARTICULAR PURPOSE ARE DISCLAIMED.
//
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
// ***************************************************************************
// ***************************************************************************
// ***************************************************************************
// ***************************************************************************
`timescale 1ns/100ps
module system_top (
ddr_addr,
ddr_ba,
ddr_cas_n,
ddr_ck_n,
ddr_ck_p,
ddr_cke,
ddr_cs_n,
ddr_dm,
ddr_dq,
ddr_dqs_n,
ddr_dqs_p,
ddr_odt,
ddr_ras_n,
ddr_reset_n,
ddr_we_n,
fixed_io_ddr_vrn,
fixed_io_ddr_vrp,
fixed_io_mio,
fixed_io_ps_clk,
fixed_io_ps_porb,
fixed_io_ps_srstb,
gpio_bd,
hdmi_out_clk,
hdmi_vsync,
hdmi_hsync,
hdmi_data_e,
hdmi_data,
i2s_mclk,
i2s_bclk,
i2s_lrclk,
i2s_sdata_out,
i2s_sdata_in,
spdif,
iic_scl,
iic_sda,
iic_mux_scl,
iic_mux_sda,
hdmi_iic_rstn,
hdmi_iic_scl,
hdmi_iic_sda,
hdmi_rx_clk,
hdmi_tx_clk,
hdmi_rx_data,
hdmi_tx_data,
hdmiio_int,
otg_vbusoc);
inout [14:0] ddr_addr;
inout [ 2:0] ddr_ba;
inout ddr_cas_n;
inout ddr_ck_n;
inout ddr_ck_p;
inout ddr_cke;
inout ddr_cs_n;
inout [ 3:0] ddr_dm;
inout [31:0] ddr_dq;
inout [ 3:0] ddr_dqs_n;
inout [ 3:0] ddr_dqs_p;
inout ddr_odt;
inout ddr_ras_n;
inout ddr_reset_n;
inout ddr_we_n;
inout fixed_io_ddr_vrn;
inout fixed_io_ddr_vrp;
inout [53:0] fixed_io_mio;
inout fixed_io_ps_clk;
inout fixed_io_ps_porb;
inout fixed_io_ps_srstb;
inout [31:0] gpio_bd;
output hdmi_out_clk;
output hdmi_vsync;
output hdmi_hsync;
output hdmi_data_e;
output [15:0] hdmi_data;
output spdif;
output i2s_mclk;
output i2s_bclk;
output i2s_lrclk;
output i2s_sdata_out;
input i2s_sdata_in;
inout iic_scl;
inout iic_sda;
inout [ 1:0] iic_mux_scl;
inout [ 1:0] iic_mux_sda;
inout hdmi_iic_rstn;
inout hdmi_iic_scl;
inout hdmi_iic_sda;
inout hdmiio_int;
input otg_vbusoc;
// imageon ports
input hdmi_rx_clk;
output hdmi_tx_clk;
input [15:0] hdmi_rx_data;
output [15:0] hdmi_tx_data;
// internal signals
wire [63:0] gpio_i;
wire [63:0] gpio_o;
wire [63:0] gpio_t;
wire [ 1:0] iic_mux_scl_i_s;
wire [ 1:0] iic_mux_scl_o_s;
wire iic_mux_scl_t_s;
wire [ 1:0] iic_mux_sda_i_s;
wire [ 1:0] iic_mux_sda_o_s;
wire iic_mux_sda_t_s;
wire hdmi_rx_clk;
wire hdmi_tx_clk;
wire hdmi_rx_clk_bufio;
wire [15:0] hdmi_rx_data;
reg [15:0] hdmi_rx_data_in;
reg [15:0] hdmi_tx_data;
always @(posedge hdmi_rx_clk_bufio) begin
hdmi_rx_data_in <= hdmi_rx_data;
hdmi_tx_data <= hdmi_rx_data_in;
end
// instantiations
BUFIO (
.I (hdmi_rx_clk),
.O (hdmi_rx_clk_bufio));
ODDR #(.INIT(1'b0)) i_clk_oddr (
.R (1'b0),
.S (1'b0),
.CE (1'b1),
.D1 (1'b1),
.D2 (1'b0),
.C (hdmi_rx_clk_bufio),
.Q (hdmi_tx_clk));
ad_iobuf #(.DATA_WIDTH(1)) i_gpio_hdmi (
.dio_t (gpio_t[32]),
.dio_i (gpio_o[32]),
.dio_o (gpio_i[32]),
.dio_p (hdmiio_int));
ad_iobuf #(.DATA_WIDTH(1)) i_gpio_hdmi_iic_rstn (
.dio_t (gpio_t[33]),
.dio_i (gpio_o[33]),
.dio_o (gpio_i[33]),
.dio_p (hdmi_iic_rstn));
ad_iobuf #(
.DATA_WIDTH(32)
) i_iobuf (
.dio_t(gpio_t[31:0]),
.dio_i(gpio_o[31:0]),
.dio_o(gpio_i[31:0]),
.dio_p(gpio_bd));
ad_iobuf #(
.DATA_WIDTH(2)
) i_iic_mux_scl (
.dio_t({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.dio_i(iic_mux_scl_o_s),
.dio_o(iic_mux_scl_i_s),
.dio_p(iic_mux_scl));
ad_iobuf #(
.DATA_WIDTH(2)
) i_iic_mux_sda (
.dio_t({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.dio_i(iic_mux_sda_o_s),
.dio_o(iic_mux_sda_i_s),
.dio_p(iic_mux_sda));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),
.ddr_ba (ddr_ba),
.ddr_cas_n (ddr_cas_n),
.ddr_ck_n (ddr_ck_n),
.ddr_ck_p (ddr_ck_p),
.ddr_cke (ddr_cke),
.ddr_cs_n (ddr_cs_n),
.ddr_dm (ddr_dm),
.ddr_dq (ddr_dq),
.ddr_dqs_n (ddr_dqs_n),
.ddr_dqs_p (ddr_dqs_p),
.ddr_odt (ddr_odt),
.ddr_ras_n (ddr_ras_n),
.ddr_reset_n (ddr_reset_n),
.ddr_we_n (ddr_we_n),
.fixed_io_ddr_vrn (fixed_io_ddr_vrn),
.fixed_io_ddr_vrp (fixed_io_ddr_vrp),
.fixed_io_mio (fixed_io_mio),
.fixed_io_ps_clk (fixed_io_ps_clk),
.fixed_io_ps_porb (fixed_io_ps_porb),
.fixed_io_ps_srstb (fixed_io_ps_srstb),
.gpio_i (gpio_i),
.gpio_o (gpio_o),
.gpio_t (gpio_t),
.hdmi_data (hdmi_data),
.hdmi_data_e (hdmi_data_e),
.hdmi_hsync (hdmi_hsync),
.hdmi_out_clk (hdmi_out_clk),
.hdmi_vsync (hdmi_vsync),
.i2s_bclk (i2s_bclk),
.i2s_lrclk (i2s_lrclk),
.i2s_mclk (i2s_mclk),
.i2s_sdata_in (i2s_sdata_in),
.i2s_sdata_out (i2s_sdata_out),
.iic_fmc_scl_io (iic_scl),
.iic_fmc_sda_io (iic_sda),
.iic_mux_scl_i (iic_mux_scl_i_s),
.iic_mux_scl_o (iic_mux_scl_o_s),
.iic_mux_scl_t (iic_mux_scl_t_s),
.iic_mux_sda_i (iic_mux_sda_i_s),
.iic_mux_sda_o (iic_mux_sda_o_s),
.iic_mux_sda_t (iic_mux_sda_t_s),
.iic_imageon_scl_io (hdmi_iic_scl),
.iic_imageon_sda_io (hdmi_iic_sda),
.ps_intr_00 (1'b0),
.ps_intr_01 (1'b0),
.ps_intr_02 (1'b0),
.ps_intr_03 (1'b0),
.ps_intr_04 (1'b0),
.ps_intr_05 (1'b0),
.ps_intr_06 (1'b0),
.ps_intr_07 (1'b0),
.ps_intr_08 (1'b0),
.ps_intr_09 (1'b0),
.ps_intr_10 (1'b0),
.ps_intr_12 (1'b0),
.ps_intr_13 (1'b0),
.otg_vbusoc (otg_vbusoc),
.spdif (spdif));
endmodule
// ***************************************************************************
// ***************************************************************************