diff --git a/library/axi_spdif_rx/Makefile b/library/axi_spdif_rx/Makefile new file mode 100644 index 000000000..d56a4b265 --- /dev/null +++ b/library/axi_spdif_rx/Makefile @@ -0,0 +1,51 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +M_DEPS := axi_spdif_rx_ip.tcl +M_DEPS += ../scripts/adi_env.tcl +M_DEPS += ../scripts/adi_ip.tcl +M_DEPS += ../common/axi_ctrlif.vhd +M_DEPS += ../common/axi_streaming_dma_rx_fifo.vhd +M_DEPS += ../common/pl330_dma_fifo.vhd +M_DEPS += ../common/dma_fifo.vhd +M_DEPS += rx_phase_det.vhd +M_DEPS += rx_package.vhd +M_DEPS += rx_decode.vhd +M_DEPS += rx_status_reg.vhd +M_DEPS += axi_spdif_rx.vhd +M_DEPS += axi_spdif_rx_constr.xdc + +M_VIVADO := vivado -mode batch -source + +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil + + + +.PHONY: all clean clean-all +all: axi_spdif_rx.xpr + + +clean:clean-all + + +clean-all: + rm -rf $(M_FLIST) + + +axi_spdif_rx.xpr: $(M_DEPS) + rm -rf $(M_FLIST) + $(M_VIVADO) axi_spdif_rx_ip.tcl >> axi_spdif_rx_ip.log 2>&1 + +#################################################################################### +#################################################################################### diff --git a/library/util_jesd_align/Makefile b/library/util_jesd_align/Makefile new file mode 100644 index 000000000..d339ca7b0 --- /dev/null +++ b/library/util_jesd_align/Makefile @@ -0,0 +1,44 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +M_DEPS := util_jesd_align_ip.tcl +M_DEPS += ../scripts/adi_env.tcl +M_DEPS += ../scripts/adi_ip.tcl +M_DEPS += ../common/ad_jesd_align.v +M_DEPS += util_jesd_align.v +M_DEPS += util_jesd_align_constr.xdc + +M_VIVADO := vivado -mode batch -source + +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil + + + +.PHONY: all clean clean-all +all: util_jesd_align.xpr + + +clean:clean-all + + +clean-all: + rm -rf $(M_FLIST) + + +util_jesd_align.xpr: $(M_DEPS) + rm -rf $(M_FLIST) + $(M_VIVADO) util_jesd_align_ip.tcl >> util_jesd_align_ip.log 2>&1 + +#################################################################################### +#################################################################################### diff --git a/library/util_jesd_xmit/Makefile b/library/util_jesd_xmit/Makefile new file mode 100644 index 000000000..3c290da97 --- /dev/null +++ b/library/util_jesd_xmit/Makefile @@ -0,0 +1,43 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +M_DEPS := util_jesd_xmit_ip.tcl +M_DEPS += ../scripts/adi_env.tcl +M_DEPS += ../scripts/adi_ip.tcl +M_DEPS += util_jesd_xmit.v +M_DEPS += util_jesd_xmit_constr.xdc + +M_VIVADO := vivado -mode batch -source + +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil + + + +.PHONY: all clean clean-all +all: util_jesd_xmit.xpr + + +clean:clean-all + + +clean-all: + rm -rf $(M_FLIST) + + +util_jesd_xmit.xpr: $(M_DEPS) + rm -rf $(M_FLIST) + $(M_VIVADO) util_jesd_xmit_ip.tcl >> util_jesd_xmit_ip.log 2>&1 + +#################################################################################### +####################################################################################