diff --git a/library/Makefile b/library/Makefile index 321adf691..b30f7b0bc 100644 --- a/library/Makefile +++ b/library/Makefile @@ -21,6 +21,7 @@ clean: make -C axi_ad9265 clean make -C axi_ad9361 clean make -C axi_ad9371 clean + make -C axi_ad9379 clean make -C axi_ad9434 clean make -C axi_ad9467 clean make -C axi_ad9625 clean @@ -113,6 +114,7 @@ lib: make -C axi_ad9265 make -C axi_ad9361 make -C axi_ad9371 + make -C axi_ad9379 make -C axi_ad9434 make -C axi_ad9467 make -C axi_ad9625 diff --git a/library/util_dacfifo/Makefile b/library/util_dacfifo/Makefile index 1b3f60201..a98ffef49 100644 --- a/library/util_dacfifo/Makefile +++ b/library/util_dacfifo/Makefile @@ -5,9 +5,9 @@ #################################################################################### #################################################################################### -M_DEPS += ../common/ad_mem.v M_DEPS += ../common/ad_b2g.v M_DEPS += ../common/ad_g2b.v +M_DEPS += ../common/ad_mem.v M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += util_dacfifo.v diff --git a/library/xilinx/axi_dacfifo/Makefile b/library/xilinx/axi_dacfifo/Makefile index 070832e4a..84d8037a3 100644 --- a/library/xilinx/axi_dacfifo/Makefile +++ b/library/xilinx/axi_dacfifo/Makefile @@ -8,13 +8,15 @@ M_DEPS += ../../common/ad_axis_inf_rx.v M_DEPS += ../../common/ad_b2g.v M_DEPS += ../../common/ad_g2b.v +M_DEPS += ../../common/ad_mem.v +M_DEPS += ../../common/ad_mem_asym.v M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_ip.tcl M_DEPS += axi_dacfifo.v +M_DEPS += axi_dacfifo_address_buffer.v M_DEPS += axi_dacfifo_constr.xdc M_DEPS += axi_dacfifo_ip.tcl M_DEPS += axi_dacfifo_rd.v -M_DEPS += axi_dacfifo_address_buffer.v M_DEPS += axi_dacfifo_wr.v M_DEPS += util_dacfifo_bypass.v diff --git a/projects/Makefile b/projects/Makefile index 7700a7fb0..07bed5691 100644 --- a/projects/Makefile +++ b/projects/Makefile @@ -21,6 +21,7 @@ all: -make -C adrv9361z7035 all -make -C adrv9364z7020 all -make -C adrv9371x all + -make -C adrv9379 all -make -C adv7511 all -make -C arradio all -make -C cftl_cip all @@ -61,6 +62,7 @@ clean: make -C adrv9361z7035 clean make -C adrv9364z7020 clean make -C adrv9371x clean + make -C adrv9379 clean make -C adv7511 clean make -C arradio clean make -C cftl_cip clean @@ -101,6 +103,7 @@ clean-all: make -C adrv9361z7035 clean-all make -C adrv9364z7020 clean-all make -C adrv9371x clean-all + make -C adrv9379 clean-all make -C adv7511 clean-all make -C arradio clean-all make -C cftl_cip clean-all diff --git a/projects/adrv9361z7035/ccbox_lvds/Makefile b/projects/adrv9361z7035/ccbox_lvds/Makefile index 0d05b8191..e0fe3732d 100644 --- a/projects/adrv9361z7035/ccbox_lvds/Makefile +++ b/projects/adrv9361z7035/ccbox_lvds/Makefile @@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_adl5904_rst.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/adrv9361z7035/ccfmc_lvds/Makefile b/projects/adrv9361z7035/ccfmc_lvds/Makefile index 058477b1f..6ea808390 100644 --- a/projects/adrv9361z7035/ccfmc_lvds/Makefile +++ b/projects/adrv9361z7035/ccfmc_lvds/Makefile @@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_adl5904_rst.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr diff --git a/projects/adrv9364z7020/ccbox_lvds/Makefile b/projects/adrv9364z7020/ccbox_lvds/Makefile index 4394969b6..34418ea23 100644 --- a/projects/adrv9364z7020/ccbox_lvds/Makefile +++ b/projects/adrv9364z7020/ccbox_lvds/Makefile @@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/common/ad_adl5904_rst.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/adrv9371x/a10gx/Makefile b/projects/adrv9371x/a10gx/Makefile index 48c6ef1ab..619c3a88f 100644 --- a/projects/adrv9371x/a10gx/Makefile +++ b/projects/adrv9371x/a10gx/Makefile @@ -63,10 +63,12 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v +M_DEPS += ../../../library/common/ad_b2g.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v +M_DEPS += ../../../library/common/ad_g2b.v M_DEPS += ../../../library/common/ad_iqcor.v M_DEPS += ../../../library/common/ad_mem.v M_DEPS += ../../../library/common/ad_rst.v diff --git a/projects/adrv9371x/a10soc/Makefile b/projects/adrv9371x/a10soc/Makefile index 5275b524f..6e5a25c9c 100644 --- a/projects/adrv9371x/a10soc/Makefile +++ b/projects/adrv9371x/a10soc/Makefile @@ -79,6 +79,7 @@ M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v M_DEPS += ../../../library/common/ad_g2b.v M_DEPS += ../../../library/common/ad_iqcor.v +M_DEPS += ../../../library/common/ad_mem.v M_DEPS += ../../../library/common/ad_rst.v M_DEPS += ../../../library/common/ad_xcvr_rx_if.v M_DEPS += ../../../library/common/up_adc_channel.v diff --git a/projects/adrv9379/zc706/Makefile b/projects/adrv9379/zc706/Makefile index e022efa9a..9aa440908 100644 --- a/projects/adrv9379/zc706/Makefile +++ b/projects/adrv9379/zc706/Makefile @@ -31,7 +31,6 @@ M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr -M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr M_DEPS += ../../../library/util_upack/util_upack.xpr @@ -74,7 +73,6 @@ clean-all:clean make -C ../../../library/jesd204/jesd204_rx clean make -C ../../../library/jesd204/jesd204_tx clean make -C ../../../library/xilinx/util_adxcvr clean - make -C ../../../library/util_bsplit clean make -C ../../../library/util_cpack clean make -C ../../../library/util_upack clean @@ -97,7 +95,6 @@ lib: make -C ../../../library/jesd204/jesd204_rx make -C ../../../library/jesd204/jesd204_tx make -C ../../../library/xilinx/util_adxcvr - make -C ../../../library/util_bsplit make -C ../../../library/util_cpack make -C ../../../library/util_upack diff --git a/projects/daq2/a10gx/Makefile b/projects/daq2/a10gx/Makefile index 01548c34d..205c00c00 100644 --- a/projects/daq2/a10gx/Makefile +++ b/projects/daq2/a10gx/Makefile @@ -20,6 +20,7 @@ M_DEPS += ../common/daq2_qsys.tcl M_DEPS += ../../scripts/adi_tquest.tcl M_DEPS += ../../scripts/adi_project_alt.tcl M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../common/altera/dacfifo_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl M_DEPS += ../../../library/altera/adi_jesd204/adi_jesd204_hw.tcl @@ -65,10 +66,13 @@ M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v M_DEPS += ../../../library/common/ad_axis_inf_rx.v +M_DEPS += ../../../library/common/ad_b2g.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v +M_DEPS += ../../../library/common/ad_g2b.v +M_DEPS += ../../../library/common/ad_mem.v M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_rst.v M_DEPS += ../../../library/common/ad_xcvr_rx_if.v @@ -81,32 +85,6 @@ M_DEPS += ../../../library/common/up_dac_common.v M_DEPS += ../../../library/common/up_delay_cntrl.v M_DEPS += ../../../library/common/up_xfer_cntrl.v M_DEPS += ../../../library/common/up_xfer_status.v -M_DEPS += ../../../library/jesd204/axi_jesd204_common/jesd204_up_common.v -M_DEPS += ../../../library/jesd204/axi_jesd204_common/jesd204_up_sysref.v -M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.v -M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx_constr.sdc -M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx_hw.tcl -M_DEPS += ../../../library/jesd204/axi_jesd204_rx/jesd204_up_ilas_mem.v -M_DEPS += ../../../library/jesd204/axi_jesd204_rx/jesd204_up_rx.v -M_DEPS += ../../../library/jesd204/axi_jesd204_rx/jesd204_up_rx_lane.v -M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.v -M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx_constr.sdc -M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx_hw.tcl -M_DEPS += ../../../library/jesd204/axi_jesd204_tx/jesd204_up_tx.v -M_DEPS += ../../../library/jesd204/jesd204_common/eof.v -M_DEPS += ../../../library/jesd204/jesd204_common/lmfc.v -M_DEPS += ../../../library/jesd204/jesd204_common/pipeline_stage.v -M_DEPS += ../../../library/jesd204/jesd204_common/scrambler.v -M_DEPS += ../../../library/jesd204/jesd204_rx/align_mux.v -M_DEPS += ../../../library/jesd204/jesd204_rx/elastic_buffer.v -M_DEPS += ../../../library/jesd204/jesd204_rx/ilas_monitor.v -M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx_constr.sdc -M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx_hw.tcl -M_DEPS += ../../../library/jesd204/jesd204_rx/lane_latency_monitor.v -M_DEPS += ../../../library/jesd204/jesd204_rx/rx.v -M_DEPS += ../../../library/jesd204/jesd204_rx/rx_cgs.v -M_DEPS += ../../../library/jesd204/jesd204_rx/rx_ctrl.v -M_DEPS += ../../../library/jesd204/jesd204_rx/rx_lane.v M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/8b10b_decoder.v M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx.v M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx_hw.tcl @@ -114,11 +92,6 @@ M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/pattern_align.v M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/8b10b_encoder.v M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx.v M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx_hw.tcl -M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx_constr.sdc -M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx_hw.tcl -M_DEPS += ../../../library/jesd204/jesd204_tx/tx.v -M_DEPS += ../../../library/jesd204/jesd204_tx/tx_ctrl.v -M_DEPS += ../../../library/jesd204/jesd204_tx/tx_lane.v M_DEPS += ../../../library/scripts/adi_env.tcl M_DEPS += ../../../library/scripts/adi_ip_alt.tcl M_DEPS += ../../../library/util_adcfifo/util_adcfifo.v @@ -130,13 +103,14 @@ M_DEPS += ../../../library/util_axis_fifo/address_sync.v M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v M_DEPS += ../../../library/util_cdc/sync_bits.v -M_DEPS += ../../../library/util_cdc/sync_data.v -M_DEPS += ../../../library/util_cdc/sync_event.v M_DEPS += ../../../library/util_cdc/sync_gray.v M_DEPS += ../../../library/util_cpack/util_cpack.v M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v M_DEPS += ../../../library/util_cpack/util_cpack_hw.tcl M_DEPS += ../../../library/util_cpack/util_cpack_mux.v +M_DEPS += ../../../library/util_dacfifo/util_dacfifo.v +M_DEPS += ../../../library/util_dacfifo/util_dacfifo_constr.sdc +M_DEPS += ../../../library/util_dacfifo/util_dacfifo_hw.tcl M_DEPS += ../../../library/util_upack/util_upack.v M_DEPS += ../../../library/util_upack/util_upack_dmx.v M_DEPS += ../../../library/util_upack/util_upack_dsf.v diff --git a/projects/daq2/a10soc/Makefile b/projects/daq2/a10soc/Makefile index a831c849d..9bac4d198 100644 --- a/projects/daq2/a10soc/Makefile +++ b/projects/daq2/a10soc/Makefile @@ -22,7 +22,17 @@ M_DEPS += ../../scripts/adi_project_alt.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl +M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl +M_DEPS += ../../common/a10soc/a10soc_plddr4_assign.tcl M_DEPS += ../../../library/altera/adi_jesd204/adi_jesd204_hw.tcl +M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo.v +M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_byteenable_coder.v +M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_byteenable_decoder.v +M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_constr.sdc +M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_hw.tcl +M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_rd.v +M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_wr.v +M_DEPS += ../../../library/altera/avl_dacfifo/util_dacfifo_bypass.v M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v @@ -65,10 +75,13 @@ M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v M_DEPS += ../../../library/common/ad_axis_inf_rx.v +M_DEPS += ../../../library/common/ad_b2g.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v +M_DEPS += ../../../library/common/ad_g2b.v +M_DEPS += ../../../library/common/ad_mem.v M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_rst.v M_DEPS += ../../../library/common/ad_xcvr_rx_if.v @@ -81,32 +94,7 @@ M_DEPS += ../../../library/common/up_dac_common.v M_DEPS += ../../../library/common/up_delay_cntrl.v M_DEPS += ../../../library/common/up_xfer_cntrl.v M_DEPS += ../../../library/common/up_xfer_status.v -M_DEPS += ../../../library/jesd204/axi_jesd204_common/jesd204_up_common.v -M_DEPS += ../../../library/jesd204/axi_jesd204_common/jesd204_up_sysref.v -M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.v -M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx_constr.sdc -M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx_hw.tcl -M_DEPS += ../../../library/jesd204/axi_jesd204_rx/jesd204_up_ilas_mem.v -M_DEPS += ../../../library/jesd204/axi_jesd204_rx/jesd204_up_rx.v -M_DEPS += ../../../library/jesd204/axi_jesd204_rx/jesd204_up_rx_lane.v -M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.v -M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx_constr.sdc -M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx_hw.tcl -M_DEPS += ../../../library/jesd204/axi_jesd204_tx/jesd204_up_tx.v -M_DEPS += ../../../library/jesd204/jesd204_common/eof.v -M_DEPS += ../../../library/jesd204/jesd204_common/lmfc.v -M_DEPS += ../../../library/jesd204/jesd204_common/pipeline_stage.v -M_DEPS += ../../../library/jesd204/jesd204_common/scrambler.v -M_DEPS += ../../../library/jesd204/jesd204_rx/align_mux.v -M_DEPS += ../../../library/jesd204/jesd204_rx/elastic_buffer.v -M_DEPS += ../../../library/jesd204/jesd204_rx/ilas_monitor.v -M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx_constr.sdc -M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx_hw.tcl -M_DEPS += ../../../library/jesd204/jesd204_rx/lane_latency_monitor.v -M_DEPS += ../../../library/jesd204/jesd204_rx/rx.v -M_DEPS += ../../../library/jesd204/jesd204_rx/rx_cgs.v -M_DEPS += ../../../library/jesd204/jesd204_rx/rx_ctrl.v -M_DEPS += ../../../library/jesd204/jesd204_rx/rx_lane.v +M_DEPS += ../../../library/common/util_delay.v M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/8b10b_decoder.v M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx.v M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx_hw.tcl @@ -114,11 +102,6 @@ M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/pattern_align.v M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/8b10b_encoder.v M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx.v M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx_hw.tcl -M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx_constr.sdc -M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx_hw.tcl -M_DEPS += ../../../library/jesd204/jesd204_tx/tx.v -M_DEPS += ../../../library/jesd204/jesd204_tx/tx_ctrl.v -M_DEPS += ../../../library/jesd204/jesd204_tx/tx_lane.v M_DEPS += ../../../library/scripts/adi_env.tcl M_DEPS += ../../../library/scripts/adi_ip_alt.tcl M_DEPS += ../../../library/util_adcfifo/util_adcfifo.v @@ -130,8 +113,6 @@ M_DEPS += ../../../library/util_axis_fifo/address_sync.v M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v M_DEPS += ../../../library/util_cdc/sync_bits.v -M_DEPS += ../../../library/util_cdc/sync_data.v -M_DEPS += ../../../library/util_cdc/sync_event.v M_DEPS += ../../../library/util_cdc/sync_gray.v M_DEPS += ../../../library/util_cpack/util_cpack.v M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v diff --git a/projects/daq3/a10gx/Makefile b/projects/daq3/a10gx/Makefile index 5213cc361..479730221 100644 --- a/projects/daq3/a10gx/Makefile +++ b/projects/daq3/a10gx/Makefile @@ -20,11 +20,10 @@ M_DEPS += ../common/daq3_qsys.tcl M_DEPS += ../../scripts/adi_tquest.tcl M_DEPS += ../../scripts/adi_project_alt.tcl M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../common/altera/dacfifo_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl -M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg.v -M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg_hw.tcl -M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl +M_DEPS += ../../../library/altera/adi_jesd204/adi_jesd204_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v @@ -33,6 +32,9 @@ M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc M_DEPS += ../../../library/altera/common/up_rst_constr.sdc M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc +M_DEPS += ../../../library/altera/jesd204_phy/jesd204_phy_glue.v +M_DEPS += ../../../library/altera/jesd204_phy/jesd204_phy_glue_hw.tcl +M_DEPS += ../../../library/altera/jesd204_phy/jesd204_phy_hw.tcl M_DEPS += ../../../library/axi_ad9152/axi_ad9152.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152_channel.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152_core.v @@ -64,10 +66,13 @@ M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v M_DEPS += ../../../library/common/ad_axis_inf_rx.v +M_DEPS += ../../../library/common/ad_b2g.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v +M_DEPS += ../../../library/common/ad_g2b.v +M_DEPS += ../../../library/common/ad_mem.v M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_rst.v M_DEPS += ../../../library/common/ad_xcvr_rx_if.v @@ -80,6 +85,13 @@ M_DEPS += ../../../library/common/up_dac_common.v M_DEPS += ../../../library/common/up_delay_cntrl.v M_DEPS += ../../../library/common/up_xfer_cntrl.v M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/8b10b_decoder.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx_hw.tcl +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/pattern_align.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/8b10b_encoder.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx_hw.tcl M_DEPS += ../../../library/scripts/adi_env.tcl M_DEPS += ../../../library/scripts/adi_ip_alt.tcl M_DEPS += ../../../library/util_adcfifo/util_adcfifo.v @@ -96,6 +108,9 @@ M_DEPS += ../../../library/util_cpack/util_cpack.v M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v M_DEPS += ../../../library/util_cpack/util_cpack_hw.tcl M_DEPS += ../../../library/util_cpack/util_cpack_mux.v +M_DEPS += ../../../library/util_dacfifo/util_dacfifo.v +M_DEPS += ../../../library/util_dacfifo/util_dacfifo_constr.sdc +M_DEPS += ../../../library/util_dacfifo/util_dacfifo_hw.tcl M_DEPS += ../../../library/util_upack/util_upack.v M_DEPS += ../../../library/util_upack/util_upack_dmx.v M_DEPS += ../../../library/util_upack/util_upack_dsf.v diff --git a/projects/fmcjesdadc1/a10gx/Makefile b/projects/fmcjesdadc1/a10gx/Makefile index 8127eccb2..0c1370f17 100644 --- a/projects/fmcjesdadc1/a10gx/Makefile +++ b/projects/fmcjesdadc1/a10gx/Makefile @@ -23,7 +23,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl M_DEPS += ../../../library/common/ad_sysref_gen.v -M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl +M_DEPS += ../../../library/altera/adi_jesd204/adi_jesd204_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v @@ -31,6 +31,9 @@ M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc M_DEPS += ../../../library/altera/common/up_rst_constr.sdc M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc +M_DEPS += ../../../library/altera/jesd204_phy/jesd204_phy_glue.v +M_DEPS += ../../../library/altera/jesd204_phy/jesd204_phy_glue_hw.tcl +M_DEPS += ../../../library/altera/jesd204_phy/jesd204_phy_hw.tcl M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl @@ -67,6 +70,13 @@ M_DEPS += ../../../library/common/up_clock_mon.v M_DEPS += ../../../library/common/up_delay_cntrl.v M_DEPS += ../../../library/common/up_xfer_cntrl.v M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/8b10b_decoder.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx_hw.tcl +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/pattern_align.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/8b10b_encoder.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx_hw.tcl M_DEPS += ../../../library/scripts/adi_env.tcl M_DEPS += ../../../library/scripts/adi_ip_alt.tcl M_DEPS += ../../../library/util_axis_fifo/address_gray.v diff --git a/projects/fmcjesdadc1/a10soc/Makefile b/projects/fmcjesdadc1/a10soc/Makefile index feac3e22a..fe526c427 100644 --- a/projects/fmcjesdadc1/a10soc/Makefile +++ b/projects/fmcjesdadc1/a10soc/Makefile @@ -23,7 +23,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../../library/common/ad_sysref_gen.v -M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl +M_DEPS += ../../../library/altera/adi_jesd204/adi_jesd204_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v @@ -31,6 +31,9 @@ M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc M_DEPS += ../../../library/altera/common/up_rst_constr.sdc M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc +M_DEPS += ../../../library/altera/jesd204_phy/jesd204_phy_glue.v +M_DEPS += ../../../library/altera/jesd204_phy/jesd204_phy_glue_hw.tcl +M_DEPS += ../../../library/altera/jesd204_phy/jesd204_phy_hw.tcl M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl @@ -67,6 +70,13 @@ M_DEPS += ../../../library/common/up_clock_mon.v M_DEPS += ../../../library/common/up_delay_cntrl.v M_DEPS += ../../../library/common/up_xfer_cntrl.v M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/8b10b_decoder.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx_hw.tcl +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_rx/pattern_align.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/8b10b_encoder.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx.v +M_DEPS += ../../../library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx_hw.tcl M_DEPS += ../../../library/scripts/adi_env.tcl M_DEPS += ../../../library/scripts/adi_ip_alt.tcl M_DEPS += ../../../library/util_axis_fifo/address_gray.v diff --git a/projects/usdrx1/a10gx/Makefile b/projects/usdrx1/a10gx/Makefile index 021d264c5..818460263 100644 --- a/projects/usdrx1/a10gx/Makefile +++ b/projects/usdrx1/a10gx/Makefile @@ -24,6 +24,10 @@ M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl M_DEPS += ../../../library/common/ad_sysref_gen.v M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl +M_DEPS += ../../../library/altera/avl_adxcvr_octet_swap/avl_adxcvr_octet_swap.v +M_DEPS += ../../../library/altera/avl_adxcvr_octet_swap/avl_adxcvr_octet_swap_hw.tcl +M_DEPS += ../../../library/altera/avl_adxphy/avl_adxphy.v +M_DEPS += ../../../library/altera/avl_adxphy/avl_adxphy_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v