diff --git a/library/common/ad_iobuf.v b/library/xilinx/common/ad_iobuf.v similarity index 100% rename from library/common/ad_iobuf.v rename to library/xilinx/common/ad_iobuf.v diff --git a/library/common/ad_lvds_clk.v b/library/xilinx/common/ad_lvds_clk.v similarity index 100% rename from library/common/ad_lvds_clk.v rename to library/xilinx/common/ad_lvds_clk.v diff --git a/library/common/ad_lvds_in.v b/library/xilinx/common/ad_lvds_in.v similarity index 100% rename from library/common/ad_lvds_in.v rename to library/xilinx/common/ad_lvds_in.v diff --git a/library/common/ad_lvds_out.v b/library/xilinx/common/ad_lvds_out.v similarity index 100% rename from library/common/ad_lvds_out.v rename to library/xilinx/common/ad_lvds_out.v diff --git a/library/common/ad_mmcm_drp.v b/library/xilinx/common/ad_mmcm_drp.v similarity index 100% rename from library/common/ad_mmcm_drp.v rename to library/xilinx/common/ad_mmcm_drp.v diff --git a/library/common/ad_mul.v b/library/xilinx/common/ad_mul.v similarity index 100% rename from library/common/ad_mul.v rename to library/xilinx/common/ad_mul.v diff --git a/library/common/ad_serdes_clk.v b/library/xilinx/common/ad_serdes_clk.v similarity index 100% rename from library/common/ad_serdes_clk.v rename to library/xilinx/common/ad_serdes_clk.v diff --git a/library/common/ad_serdes_in.v b/library/xilinx/common/ad_serdes_in.v similarity index 100% rename from library/common/ad_serdes_in.v rename to library/xilinx/common/ad_serdes_in.v diff --git a/library/common/ad_serdes_out.v b/library/xilinx/common/ad_serdes_out.v similarity index 100% rename from library/common/ad_serdes_out.v rename to library/xilinx/common/ad_serdes_out.v