diff --git a/projects/daq2/zcu102/system_bd.tcl b/projects/daq2/zcu102/system_bd.tcl index f0681afa0..1fb52d76b 100644 --- a/projects/daq2/zcu102/system_bd.tcl +++ b/projects/daq2/zcu102/system_bd.tcl @@ -14,7 +14,7 @@ source $ad_hdl_dir/projects/common/xilinx/adcfifo_bd.tcl source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl source ../common/daq2_bd.tcl -set_property -dict [list CONFIG.XCVR_TYPE {2}] $util_daq2_xcvr -set_property -dict [list CONFIG.QPLL_FBDIV {20}] $util_daq2_xcvr -set_property -dict [list CONFIG.QPLL_REFCLK_DIV {1}] $util_daq2_xcvr +adi_ip_parameter util_daq2_xcvr CONFIG.XCVR_TYPE 2 +adi_ip_parameter util_daq2_xcvr CONFIG.QPLL_FBDIV 20 +adi_ip_parameter util_daq2_xcvr CONFIG.QPLL_REFCLK_DIV 1