transceiver core added/gpio removed

main
Rejeesh Kutty 2015-07-07 15:30:22 -04:00
parent 23428ac48b
commit bbf1c5b803
2 changed files with 170 additions and 243 deletions

View File

@ -21,7 +21,7 @@
{
datum _sortIndex
{
value = "22";
value = "21";
type = "int";
}
datum sopceditor_expanded
@ -34,7 +34,7 @@
{
datum baseAddress
{
value = "272629760";
value = "272695296";
type = "String";
}
}
@ -42,7 +42,7 @@
{
datum _sortIndex
{
value = "18";
value = "17";
type = "int";
}
datum sopceditor_expanded
@ -55,7 +55,7 @@
{
datum baseAddress
{
value = "272695296";
value = "272760832";
type = "String";
}
}
@ -63,7 +63,7 @@
{
datum _sortIndex
{
value = "20";
value = "19";
type = "int";
}
datum sopceditor_expanded
@ -76,7 +76,7 @@
{
datum baseAddress
{
value = "272777216";
value = "272842752";
type = "String";
}
}
@ -84,7 +84,7 @@
{
datum _sortIndex
{
value = "16";
value = "15";
type = "int";
}
datum sopceditor_expanded
@ -97,7 +97,23 @@
{
datum baseAddress
{
value = "272760832";
value = "272826368";
type = "String";
}
}
element axi_jesd_xcvr
{
datum _sortIndex
{
value = "24";
type = "int";
}
}
element axi_jesd_xcvr.s_axi
{
datum baseAddress
{
value = "272629760";
type = "String";
}
}
@ -126,7 +142,7 @@
{
datum baseAddress
{
value = "272803840";
value = "272869376";
type = "String";
}
}
@ -173,7 +189,7 @@
{
datum baseAddress
{
value = "272805888";
value = "272871424";
type = "String";
}
}
@ -189,7 +205,7 @@
{
datum baseAddress
{
value = "272807040";
value = "272872576";
type = "String";
}
}
@ -197,7 +213,7 @@
{
datum baseAddress
{
value = "272807008";
value = "272872544";
type = "String";
}
}
@ -205,7 +221,7 @@
{
datum baseAddress
{
value = "272807152";
value = "272872672";
type = "String";
}
}
@ -221,7 +237,7 @@
{
datum baseAddress
{
value = "272807072";
value = "272872608";
type = "String";
}
}
@ -229,7 +245,7 @@
{
datum baseAddress
{
value = "272806976";
value = "272872512";
type = "String";
}
}
@ -250,7 +266,7 @@
{
datum baseAddress
{
value = "272807136";
value = "272872656";
type = "String";
}
}
@ -266,23 +282,7 @@
{
datum baseAddress
{
value = "272807120";
type = "String";
}
}
element sys_gpio_jesd
{
datum _sortIndex
{
value = "15";
type = "int";
}
}
element sys_gpio_jesd.s1
{
datum baseAddress
{
value = "272807104";
value = "272872640";
type = "String";
}
}
@ -303,7 +303,7 @@
{
datum baseAddress
{
value = "272807160";
value = "272872680";
type = "String";
}
}
@ -363,7 +363,7 @@
{
datum baseAddress
{
value = "272806912";
value = "272872448";
type = "String";
}
}
@ -379,7 +379,7 @@
{
datum baseAddress
{
value = "272797696";
value = "272863232";
type = "String";
}
}
@ -400,7 +400,7 @@
{
datum baseAddress
{
value = "272806944";
value = "272872480";
type = "String";
}
}
@ -421,7 +421,7 @@
{
datum baseAddress
{
value = "272807168";
value = "272872688";
type = "String";
}
}
@ -446,14 +446,6 @@
type = "String";
}
}
element sys_xcvr_rst
{
datum _sortIndex
{
value = "29";
type = "int";
}
}
element sys_xcvr_rstcntrl
{
datum _sortIndex
@ -551,7 +543,7 @@
{
datum _sortIndex
{
value = "24";
value = "23";
type = "int";
}
}
@ -559,7 +551,7 @@
{
datum _sortIndex
{
value = "21";
value = "20";
type = "int";
}
}
@ -567,7 +559,7 @@
{
datum _sortIndex
{
value = "17";
value = "16";
type = "int";
}
}
@ -575,7 +567,7 @@
{
datum _sortIndex
{
value = "23";
value = "22";
type = "int";
}
}
@ -583,7 +575,7 @@
{
datum _sortIndex
{
value = "19";
value = "18";
type = "int";
}
}
@ -622,6 +614,31 @@
<interface name="axi_dmac_0_fifo_wr_if" internal="axi_dmac_0.fifo_wr_if" />
<interface name="axi_dmac_1_fifo_wr_clock" internal="axi_dmac_1.fifo_wr_clock" />
<interface name="axi_dmac_1_fifo_wr_if" internal="axi_dmac_1.fifo_wr_if" />
<interface
name="rx_data"
internal="sys_xcvr.rx_serial_data"
type="conduit"
dir="end" />
<interface
name="rx_ip_sysref"
internal="sys_xcvr.sysref"
type="conduit"
dir="end" />
<interface
name="rx_ref_clk"
internal="sys_xcvr_rx_ref_clk.clk_in"
type="clock"
dir="end" />
<interface
name="rx_sync"
internal="axi_jesd_xcvr.if_rx_sync"
type="conduit"
dir="end" />
<interface
name="rx_sysref"
internal="axi_jesd_xcvr.if_rx_sysref"
type="conduit"
dir="end" />
<interface
name="sys_125m_clk"
internal="sys_pll.outclk0"
@ -683,11 +700,6 @@
internal="sys_gpio_bd.external_connection"
type="conduit"
dir="end" />
<interface
name="sys_gpio_jesd"
internal="sys_gpio_jesd.external_connection"
type="conduit"
dir="end" />
<interface
name="sys_pll_locked"
internal="sys_pll.locked"
@ -699,36 +711,6 @@
type="reset"
dir="end" />
<interface name="sys_spi" internal="sys_spi.external" type="conduit" dir="end" />
<interface
name="sys_xcvr_reset"
internal="sys_xcvr_rst.in_reset"
type="reset"
dir="end" />
<interface
name="sys_xcvr_rstcntrl_rx_ready"
internal="sys_xcvr_rstcntrl.rx_ready"
type="conduit"
dir="end" />
<interface
name="sys_xcvr_rx_ref_clk"
internal="sys_xcvr_rx_ref_clk.clk_in"
type="clock"
dir="end" />
<interface
name="sys_xcvr_rx_sync_n"
internal="sys_xcvr.dev_sync_n"
type="conduit"
dir="end" />
<interface
name="sys_xcvr_rx_sysref"
internal="sys_xcvr.sysref"
type="conduit"
dir="end" />
<interface
name="sys_xcvr_rxd"
internal="sys_xcvr.rx_serial_data"
type="conduit"
dir="end" />
<module name="axi_ad9250_0" kind="axi_ad9250" version="1.0" enabled="1">
<parameter name="PCORE_DEVICE_TYPE" value="0" />
<parameter name="PCORE_ID" value="0" />
@ -769,6 +751,12 @@
<parameter name="C_SYNC_TRANSFER_START" value="0" />
<parameter name="PCORE_ID" value="1" />
</module>
<module name="axi_jesd_xcvr" kind="axi_jesd_xcvr" version="1.0" enabled="1">
<parameter name="PCORE_DEVICE_TYPE" value="0" />
<parameter name="PCORE_ID" value="0" />
<parameter name="PCORE_NUM_OF_RX_LANES" value="4" />
<parameter name="PCORE_NUM_OF_TX_LANES" value="4" />
</module>
<module name="sys_clk" kind="clock_source" version="15.0" enabled="1">
<parameter name="clockFrequency" value="100000000" />
<parameter name="clockFrequencyKnown" value="true" />
@ -776,8 +764,8 @@
<parameter name="resetSynchronousEdges" value="NONE" />
</module>
<module name="sys_cpu" kind="altera_nios2_gen2" version="15.0" enabled="1">
<parameter name="AUTO_CLK_CLOCK_DOMAIN" value="4" />
<parameter name="AUTO_CLK_RESET_DOMAIN" value="4" />
<parameter name="AUTO_CLK_CLOCK_DOMAIN" value="5" />
<parameter name="AUTO_CLK_RESET_DOMAIN" value="5" />
<parameter name="AUTO_DEVICE" value="5AGTFD7K3F40I3" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="3_H3" />
<parameter name="bht_ramBlockType" value="Automatic" />
@ -795,7 +783,7 @@
<parameter name="dataAddrWidth" value="29" />
<parameter name="dataMasterHighPerformanceAddrWidth" value="1" />
<parameter name="dataMasterHighPerformanceMapParam" value="" />
<parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='sys_ddr3_cntrl.avl' start='0x0' end='0x10000000' /><slave name='sys_int_mem.s1' start='0x10200000' end='0x10400000' /><slave name='axi_ad9250_0.s_axi' start='0x10400000' end='0x10410000' /><slave name='axi_ad9250_1.s_axi' start='0x10410000' end='0x10420000' /><slave name='axi_dmac_1.s_axi' start='0x10420000' end='0x10424000' /><slave name='axi_dmac_0.s_axi' start='0x10424000' end='0x10428000' /><slave name='sys_cpu.debug_mem_slave' start='0x1042A800' end='0x1042B000' /><slave name='sys_ethernet.control_port' start='0x1042B000' end='0x1042B400' /><slave name='sys_spi.spi_control_port' start='0x1042B400' end='0x1042B420' /><slave name='sys_timer.s1' start='0x1042B420' end='0x1042B440' /><slave name='sys_ethernet_dma_tx.descriptor_slave' start='0x1042B440' end='0x1042B460' /><slave name='sys_ethernet_dma_rx.descriptor_slave' start='0x1042B460' end='0x1042B480' /><slave name='sys_ethernet_dma_rx.csr' start='0x1042B480' end='0x1042B4A0' /><slave name='sys_ethernet_dma_tx.csr' start='0x1042B4A0' end='0x1042B4C0' /><slave name='sys_gpio_jesd.s1' start='0x1042B4C0' end='0x1042B4D0' /><slave name='sys_gpio_bd.s1' start='0x1042B4D0' end='0x1042B4E0' /><slave name='sys_gpio.s1' start='0x1042B4E0' end='0x1042B4F0' /><slave name='sys_ethernet_dma_rx.response' start='0x1042B4F0' end='0x1042B4F8' /><slave name='sys_id.control_slave' start='0x1042B4F8' end='0x1042B500' /><slave name='sys_uart.avalon_jtag_slave' start='0x1042B500' end='0x1042B508' /></address-map>]]></parameter>
<parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='sys_ddr3_cntrl.avl' start='0x0' end='0x10000000' /><slave name='sys_int_mem.s1' start='0x10200000' end='0x10400000' /><slave name='axi_jesd_xcvr.s_axi' start='0x10400000' end='0x10410000' /><slave name='axi_ad9250_0.s_axi' start='0x10410000' end='0x10420000' /><slave name='axi_ad9250_1.s_axi' start='0x10420000' end='0x10430000' /><slave name='axi_dmac_1.s_axi' start='0x10430000' end='0x10434000' /><slave name='axi_dmac_0.s_axi' start='0x10434000' end='0x10438000' /><slave name='sys_cpu.debug_mem_slave' start='0x1043A800' end='0x1043B000' /><slave name='sys_ethernet.control_port' start='0x1043B000' end='0x1043B400' /><slave name='sys_spi.spi_control_port' start='0x1043B400' end='0x1043B420' /><slave name='sys_timer.s1' start='0x1043B420' end='0x1043B440' /><slave name='sys_ethernet_dma_tx.descriptor_slave' start='0x1043B440' end='0x1043B460' /><slave name='sys_ethernet_dma_rx.descriptor_slave' start='0x1043B460' end='0x1043B480' /><slave name='sys_ethernet_dma_rx.csr' start='0x1043B480' end='0x1043B4A0' /><slave name='sys_ethernet_dma_tx.csr' start='0x1043B4A0' end='0x1043B4C0' /><slave name='sys_gpio_bd.s1' start='0x1043B4C0' end='0x1043B4D0' /><slave name='sys_gpio.s1' start='0x1043B4D0' end='0x1043B4E0' /><slave name='sys_ethernet_dma_rx.response' start='0x1043B4E0' end='0x1043B4E8' /><slave name='sys_id.control_slave' start='0x1043B4E8' end='0x1043B4F0' /><slave name='sys_uart.avalon_jtag_slave' start='0x1043B4F0' end='0x1043B4F8' /></address-map>]]></parameter>
<parameter name="data_master_high_performance_paddr_base" value="0" />
<parameter name="data_master_high_performance_paddr_size" value="0" />
<parameter name="data_master_paddr_base" value="0" />
@ -834,14 +822,14 @@
<parameter name="icache_tagramBlockType" value="Automatic" />
<parameter name="impl" value="Fast" />
<parameter name="instAddrWidth" value="29" />
<parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='sys_ddr3_cntrl.avl' start='0x0' end='0x10000000' /><slave name='sys_int_mem.s1' start='0x10200000' end='0x10400000' /><slave name='sys_cpu.debug_mem_slave' start='0x1042A800' end='0x1042B000' /></address-map>]]></parameter>
<parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='sys_ddr3_cntrl.avl' start='0x0' end='0x10000000' /><slave name='sys_int_mem.s1' start='0x10200000' end='0x10400000' /><slave name='sys_cpu.debug_mem_slave' start='0x1043A800' end='0x1043B000' /></address-map>]]></parameter>
<parameter name="instructionMasterHighPerformanceAddrWidth" value="1" />
<parameter name="instructionMasterHighPerformanceMapParam" value="" />
<parameter name="instruction_master_high_performance_paddr_base" value="0" />
<parameter name="instruction_master_high_performance_paddr_size" value="0" />
<parameter name="instruction_master_paddr_base" value="0" />
<parameter name="instruction_master_paddr_size" value="0" />
<parameter name="internalIrqMaskSystemInfo" value="1023" />
<parameter name="internalIrqMaskSystemInfo" value="511" />
<parameter name="io_regionbase" value="0" />
<parameter name="io_regionsize" value="0" />
<parameter name="master_addr_map" value="false" />
@ -916,7 +904,7 @@
<parameter name="setting_usedesignware" value="false" />
<parameter name="shift_rot_impl" value="0" />
<parameter name="tightlyCoupledDataMaster0AddrWidth" value="29" />
<parameter name="tightlyCoupledDataMaster0MapParam"><![CDATA[<address-map><slave name='sys_tcm_mem.s1' start='0x10429000' end='0x1042A000' /></address-map>]]></parameter>
<parameter name="tightlyCoupledDataMaster0MapParam"><![CDATA[<address-map><slave name='sys_tcm_mem.s1' start='0x10439000' end='0x1043A000' /></address-map>]]></parameter>
<parameter name="tightlyCoupledDataMaster1AddrWidth" value="1" />
<parameter name="tightlyCoupledDataMaster1MapParam" value="" />
<parameter name="tightlyCoupledDataMaster2AddrWidth" value="1" />
@ -924,7 +912,7 @@
<parameter name="tightlyCoupledDataMaster3AddrWidth" value="1" />
<parameter name="tightlyCoupledDataMaster3MapParam" value="" />
<parameter name="tightlyCoupledInstructionMaster0AddrWidth" value="29" />
<parameter name="tightlyCoupledInstructionMaster0MapParam"><![CDATA[<address-map><slave name='sys_tcm_mem.s1' start='0x10429000' end='0x1042A000' /></address-map>]]></parameter>
<parameter name="tightlyCoupledInstructionMaster0MapParam"><![CDATA[<address-map><slave name='sys_tcm_mem.s1' start='0x10439000' end='0x1043A000' /></address-map>]]></parameter>
<parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
<parameter name="tightlyCoupledInstructionMaster1MapParam" value="" />
<parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
@ -1323,9 +1311,9 @@
<parameter name="AUTO_DEVICE_FAMILY" value="Arria V" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="3_H3" />
<parameter name="AUTO_MM_READ_ADDRESS_MAP" value="" />
<parameter name="AUTO_MM_READ_ADDRESS_WIDTH" value="" />
<parameter name="AUTO_MM_READ_ADDRESS_WIDTH" value="AddressWidth = -1" />
<parameter name="AUTO_MM_WRITE_ADDRESS_MAP"><![CDATA[<address-map><slave name='sys_ddr3_cntrl.avl' start='0x0' end='0x10000000' /></address-map>]]></parameter>
<parameter name="AUTO_MM_WRITE_ADDRESS_WIDTH">com.altera.entityinterfaces.moduleext.AddressWidthType@3be866a1</parameter>
<parameter name="AUTO_MM_WRITE_ADDRESS_WIDTH" value="AddressWidth = 28" />
<parameter name="BURST_ENABLE" value="1" />
<parameter name="BURST_WRAPPING_SUPPORT" value="0" />
<parameter name="CHANNEL_ENABLE" value="0" />
@ -1355,9 +1343,9 @@
<parameter name="AUTO_DEVICE_FAMILY" value="Arria V" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="3_H3" />
<parameter name="AUTO_MM_READ_ADDRESS_MAP"><![CDATA[<address-map><slave name='sys_ddr3_cntrl.avl' start='0x0' end='0x10000000' /></address-map>]]></parameter>
<parameter name="AUTO_MM_READ_ADDRESS_WIDTH">com.altera.entityinterfaces.moduleext.AddressWidthType@3944772a</parameter>
<parameter name="AUTO_MM_READ_ADDRESS_WIDTH" value="AddressWidth = 28" />
<parameter name="AUTO_MM_WRITE_ADDRESS_MAP" value="" />
<parameter name="AUTO_MM_WRITE_ADDRESS_WIDTH" value="" />
<parameter name="AUTO_MM_WRITE_ADDRESS_WIDTH" value="AddressWidth = -1" />
<parameter name="BURST_ENABLE" value="1" />
<parameter name="BURST_WRAPPING_SUPPORT" value="0" />
<parameter name="CHANNEL_ENABLE" value="0" />
@ -1410,24 +1398,6 @@
<parameter name="simDrivenValue" value="0" />
<parameter name="width" value="32" />
</module>
<module
name="sys_gpio_jesd"
kind="altera_avalon_pio"
version="15.0"
enabled="1">
<parameter name="bitClearingEdgeCapReg" value="false" />
<parameter name="bitModifyingOutReg" value="false" />
<parameter name="captureEdge" value="false" />
<parameter name="clockRate" value="100000000" />
<parameter name="direction" value="InOut" />
<parameter name="edgeType" value="RISING" />
<parameter name="generateIRQ" value="true" />
<parameter name="irqType" value="LEVEL" />
<parameter name="resetValue" value="0" />
<parameter name="simDoTestBenchWiring" value="false" />
<parameter name="simDrivenValue" value="0" />
<parameter name="width" value="32" />
</module>
<module
name="sys_id"
kind="altera_avalon_sysid_qsys"
@ -1804,17 +1774,6 @@
<parameter name="set_user_identifier" value="0" />
<parameter name="wrapper_opt" value="base_phy" />
</module>
<module
name="sys_xcvr_rst"
kind="altera_reset_bridge"
version="15.0"
enabled="1">
<parameter name="ACTIVE_LOW_RESET" value="0" />
<parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
<parameter name="NUM_RESET_OUTPUTS" value="1" />
<parameter name="SYNCHRONOUS_EDGES" value="deassert" />
<parameter name="USE_RESET_REQUEST" value="0" />
</module>
<module
name="sys_xcvr_rstcntrl"
kind="altera_xcvr_reset_control"
@ -2089,7 +2048,7 @@
start="sys_cpu.data_master"
end="sys_uart.avalon_jtag_slave">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b500" />
<parameter name="baseAddress" value="0x1043b4f0" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2107,7 +2066,7 @@
start="sys_cpu.data_master"
end="sys_ethernet.control_port">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b000" />
<parameter name="baseAddress" value="0x1043b000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2116,7 +2075,7 @@
start="sys_cpu.data_master"
end="sys_id.control_slave">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b4f8" />
<parameter name="baseAddress" value="0x1043b4e8" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2125,7 +2084,7 @@
start="sys_cpu.data_master"
end="sys_ethernet_dma_tx.csr">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b4a0" />
<parameter name="baseAddress" value="0x1043b4a0" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2134,7 +2093,7 @@
start="sys_cpu.data_master"
end="sys_ethernet_dma_rx.csr">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b480" />
<parameter name="baseAddress" value="0x1043b480" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2143,7 +2102,7 @@
start="sys_cpu.data_master"
end="sys_cpu.debug_mem_slave">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042a800" />
<parameter name="baseAddress" value="0x1043a800" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2152,7 +2111,7 @@
start="sys_cpu.data_master"
end="sys_ethernet_dma_rx.descriptor_slave">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b460" />
<parameter name="baseAddress" value="0x1043b460" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2161,7 +2120,7 @@
start="sys_cpu.data_master"
end="sys_ethernet_dma_tx.descriptor_slave">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b440" />
<parameter name="baseAddress" value="0x1043b440" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2170,7 +2129,7 @@
start="sys_cpu.data_master"
end="sys_ethernet_dma_rx.response">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b4f0" />
<parameter name="baseAddress" value="0x1043b4e0" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2188,7 +2147,7 @@
start="sys_cpu.data_master"
end="sys_gpio.s1">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b4e0" />
<parameter name="baseAddress" value="0x1043b4d0" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2197,7 +2156,7 @@
start="sys_cpu.data_master"
end="sys_timer.s1">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b420" />
<parameter name="baseAddress" value="0x1043b420" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2206,16 +2165,7 @@
start="sys_cpu.data_master"
end="sys_gpio_bd.s1">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b4d0" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
version="15.0"
start="sys_cpu.data_master"
end="sys_gpio_jesd.s1">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b4c0" />
<parameter name="baseAddress" value="0x1043b4c0" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2224,7 +2174,7 @@
start="sys_cpu.data_master"
end="axi_ad9250_1.s_axi">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x10410000" />
<parameter name="baseAddress" value="0x10420000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2233,7 +2183,7 @@
start="sys_cpu.data_master"
end="axi_dmac_0.s_axi">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x10424000" />
<parameter name="baseAddress" value="0x10434000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2242,7 +2192,7 @@
start="sys_cpu.data_master"
end="axi_ad9250_0.s_axi">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x10400000" />
<parameter name="baseAddress" value="0x10410000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2251,7 +2201,16 @@
start="sys_cpu.data_master"
end="axi_dmac_1.s_axi">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x10420000" />
<parameter name="baseAddress" value="0x10430000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
version="15.0"
start="sys_cpu.data_master"
end="axi_jesd_xcvr.s_axi">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x10400000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2260,7 +2219,7 @@
start="sys_cpu.data_master"
end="sys_spi.spi_control_port">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042b400" />
<parameter name="baseAddress" value="0x1043b400" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2278,7 +2237,7 @@
start="sys_cpu.instruction_master"
end="sys_cpu.debug_mem_slave">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x1042a800" />
<parameter name="baseAddress" value="0x1043a800" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2332,7 +2291,7 @@
start="sys_cpu.tightly_coupled_data_master_0"
end="sys_tcm_mem.s1">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x10429000" />
<parameter name="baseAddress" value="0x10439000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2341,7 +2300,7 @@
start="sys_cpu.tightly_coupled_instruction_master_0"
end="sys_tcm_mem.s1">
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x10429000" />
<parameter name="baseAddress" value="0x10439000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@ -2396,16 +2355,6 @@
<connection kind="clock" version="15.0" start="sys_clk.clk" end="sys_gpio_bd.clk" />
<connection kind="clock" version="15.0" start="sys_clk.clk" end="sys_gpio.clk" />
<connection kind="clock" version="15.0" start="sys_clk.clk" end="sys_spi.clk" />
<connection
kind="clock"
version="15.0"
start="sys_clk.clk"
end="sys_gpio_jesd.clk" />
<connection
kind="clock"
version="15.0"
start="sys_clk.clk"
end="sys_xcvr_rst.clk" />
<connection
kind="clock"
version="15.0"
@ -2482,6 +2431,11 @@
version="15.0"
start="sys_clk.clk"
end="axi_ad9250_0.s_axi_clock" />
<connection
kind="clock"
version="15.0"
start="sys_clk.clk"
end="axi_jesd_xcvr.s_axi_clock" />
<connection
kind="clock"
version="15.0"
@ -2532,6 +2486,16 @@
version="15.0"
start="sys_xcvr_rx_clk.outclk0"
end="axi_ad9250_1.if_rx_clk" />
<connection
kind="clock"
version="15.0"
start="sys_xcvr_rx_clk.outclk0"
end="axi_jesd_xcvr.if_rx_clk" />
<connection
kind="clock"
version="15.0"
start="sys_xcvr_rx_clk.outclk0"
end="axi_jesd_xcvr.if_tx_clk" />
<connection
kind="clock"
version="15.0"
@ -2548,6 +2512,17 @@
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="sys_xcvr.dev_sync_n"
end="axi_jesd_xcvr.if_rx_ip_sync">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
@ -2790,6 +2765,17 @@
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_jesd_xcvr.if_rx_status"
end="sys_xcvr_rstcntrl.rx_ready">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
@ -2893,13 +2879,6 @@
end="sys_gpio_bd.irq">
<parameter name="irqNumber" value="8" />
</connection>
<connection
kind="interrupt"
version="15.0"
start="sys_cpu.irq"
end="sys_gpio_jesd.irq">
<parameter name="irqNumber" value="9" />
</connection>
<connection
kind="reset"
version="15.0"
@ -2910,11 +2889,6 @@
version="15.0"
start="sys_ddr3_cntrl.afi_reset"
end="axi_dmac_0.m_dest_axi_reset" />
<connection
kind="reset"
version="15.0"
start="sys_clk.clk_reset"
end="sys_xcvr_rx_ref_clk.clk_in_reset" />
<connection
kind="reset"
version="15.0"
@ -2960,21 +2934,11 @@
version="15.0"
start="sys_clk.clk_reset"
end="sys_spi.reset" />
<connection
kind="reset"
version="15.0"
start="sys_clk.clk_reset"
end="sys_xcvr_rx_clk.reset" />
<connection
kind="reset"
version="15.0"
start="sys_clk.clk_reset"
end="sys_gpio_bd.reset" />
<connection
kind="reset"
version="15.0"
start="sys_clk.clk_reset"
end="sys_gpio_jesd.reset" />
<connection
kind="reset"
version="15.0"
@ -3025,6 +2989,11 @@
version="15.0"
start="sys_clk.clk_reset"
end="axi_dmac_1.s_axi_reset" />
<connection
kind="reset"
version="15.0"
start="sys_clk.clk_reset"
end="axi_jesd_xcvr.s_axi_reset" />
<connection
kind="reset"
version="15.0"
@ -3033,22 +3002,22 @@
<connection
kind="reset"
version="15.0"
start="sys_xcvr_rst.out_reset"
start="axi_jesd_xcvr.if_rst"
end="sys_xcvr_rx_ref_clk.clk_in_reset" />
<connection
kind="reset"
version="15.0"
start="sys_xcvr_rst.out_reset"
end="sys_xcvr_rstcntrl.reset" />
<connection
kind="reset"
version="15.0"
start="sys_xcvr_rst.out_reset"
start="axi_jesd_xcvr.if_rst"
end="sys_xcvr_rx_clk.reset" />
<connection
kind="reset"
version="15.0"
start="sys_xcvr_rst.out_reset"
start="axi_jesd_xcvr.if_rst"
end="sys_xcvr_rstcntrl.reset" />
<connection
kind="reset"
version="15.0"
start="axi_jesd_xcvr.if_rx_rst"
end="sys_xcvr.rxlink_rst_n" />
<interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="FIFO" />
<interconnectRequirement for="$system" name="qsys_mm.insertDefaultSlave" value="false" />

View File

@ -151,16 +151,9 @@ module system_top (
// internal registers
reg rx_sysref_d1 = 'd0;
reg rx_sysref_d2 = 'd0;
reg rx_sysref = 'd0;
reg rx_sync_m1 = 'd0;
reg rx_sync_m2 = 'd0;
reg rx_sync_up = 'd0;
reg [ 3:0] phy_rst_cnt = 0;
reg phy_rst_reg = 0;
// internal clocks and resets
wire sys_125m_clk;
@ -178,38 +171,6 @@ module system_top (
wire spi_miso;
wire [ 63:0] gpio_i;
wire [ 63:0] gpio_o;
wire [ 31:0] gpio_jesd_i;
wire [ 31:0] gpio_jesd_o;
wire [ 3:0] rx_ready;
// jesd sysref
always @(posedge sys_clk or negedge sys_resetn) begin
if (sys_resetn == 1'b0) begin
rx_sysref_d1 <= 'd0;
rx_sysref_d2 <= 'd0;
rx_sysref <= 'd0;
rx_sync_m1 = 'd0;
rx_sync_m2 = 'd0;
rx_sync_up = 'd0;
end else begin
rx_sysref_d1 <= gpio_jesd_i[13];
rx_sysref_d2 <= rx_sysref_d1;
rx_sysref <= rx_sysref_d1 & ~rx_sysref_d2;
rx_sync_m1 = rx_sync;
rx_sync_m2 = rx_sync_m1;
rx_sync_up = rx_sync_m2;
end
end
assign gpio_jesd_i[31:24] = gpio_jesd_o[31:24];
assign gpio_jesd_i[23:16] = 8'd0;
assign gpio_jesd_i[15:15] = gpio_jesd_o[15];
assign gpio_jesd_i[14:14] = rx_sync_up;
assign gpio_jesd_i[13:13] = gpio_jesd_o[13];
assign gpio_jesd_i[12: 8] = 5'd0;
assign gpio_jesd_i[ 7: 4] = 4'hf;
assign gpio_jesd_i[ 3: 0] = rx_ready;
// ethernet transmit clock
@ -252,6 +213,11 @@ module system_top (
.dataout (eth_tx_clk_out));
system_bd i_system_bd (
.rx_data_rx_serial_data (rx_data),
.rx_ip_sysref_export (rx_sysref),
.rx_ref_clk_clk (ref_clk),
.rx_sync_rx_sync (rx_sync),
.rx_sysref_export (rx_sysref),
.sys_125m_clk_clk (sys_125m_clk),
.sys_25m_clk_clk (sys_25m_clk),
.sys_2m5_clk_clk (sys_2m5_clk),
@ -290,20 +256,12 @@ module system_top (
.sys_gpio_out_port (gpio_o[63:32]),
.sys_gpio_bd_in_port (gpio_i[31:0]),
.sys_gpio_bd_out_port (gpio_o[31:0]),
.sys_gpio_jesd_in_port (gpio_jesd_i[31:0]),
.sys_gpio_jesd_out_port (gpio_jesd_o[31:0]),
.sys_pll_locked_export (sys_pll_locked),
.sys_reset_reset_n (sys_resetn),
.sys_spi_MISO (spi_miso),
.sys_spi_MOSI (spi_mosi),
.sys_spi_SCLK (spi_clk),
.sys_spi_SS_n (spi_csn),
.sys_xcvr_reset_reset (gpio_jesd_o[15]),
.sys_xcvr_rstcntrl_rx_ready_rx_ready (rx_ready),
.sys_xcvr_rx_ref_clk_clk (ref_clk),
.sys_xcvr_rx_sync_n_export (rx_sync),
.sys_xcvr_rx_sysref_export (rx_sysref),
.sys_xcvr_rxd_rx_serial_data (rx_data));
.sys_spi_SS_n (spi_csn));
endmodule