From bfeebc27911711069ba070664001b1778bd2d324 Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Wed, 1 Jun 2016 13:55:10 -0400 Subject: [PATCH] imageon/zc706- remove onboard hdmi --- projects/imageon/zc706/system_constr.xdc | 28 +++++++++++ projects/imageon/zc706/system_project.tcl | 6 +-- projects/imageon/zc706/system_top.v | 57 ++++------------------- 3 files changed, 39 insertions(+), 52 deletions(-) diff --git a/projects/imageon/zc706/system_constr.xdc b/projects/imageon/zc706/system_constr.xdc index 8ad9c0346..919fc0dd9 100644 --- a/projects/imageon/zc706/system_constr.xdc +++ b/projects/imageon/zc706/system_constr.xdc @@ -51,3 +51,31 @@ set_property -dict {PACKAGE_PIN AG15 IOSTANDARD LVCMOS25} [get_ports hd # clock definition create_clock -period 6.66667 -name hdmi_rx_clk [get_ports hdmi_rx_clk] + +# default constraints + +# iic + +set_property -dict {PACKAGE_PIN AJ14 IOSTANDARD LVCMOS25 PULLTYPE PULLUP} [get_ports iic_scl] +set_property -dict {PACKAGE_PIN AJ18 IOSTANDARD LVCMOS25 PULLTYPE PULLUP} [get_ports iic_sda] + +# gpio (switches, leds and such) + +set_property -dict {PACKAGE_PIN AB17 IOSTANDARD LVCMOS25} [get_ports gpio_bd[0]] ; ## GPIO_DIP_SW0 +set_property -dict {PACKAGE_PIN AC16 IOSTANDARD LVCMOS25} [get_ports gpio_bd[1]] ; ## GPIO_DIP_SW1 +set_property -dict {PACKAGE_PIN AC17 IOSTANDARD LVCMOS25} [get_ports gpio_bd[2]] ; ## GPIO_DIP_SW2 +set_property -dict {PACKAGE_PIN AJ13 IOSTANDARD LVCMOS25} [get_ports gpio_bd[3]] ; ## GPIO_DIP_SW3 +set_property -dict {PACKAGE_PIN AK25 IOSTANDARD LVCMOS25} [get_ports gpio_bd[4]] ; ## GPIO_SW_LEFT +set_property -dict {PACKAGE_PIN K15 IOSTANDARD LVCMOS15} [get_ports gpio_bd[5]] ; ## GPIO_SW_CENTER +set_property -dict {PACKAGE_PIN R27 IOSTANDARD LVCMOS25} [get_ports gpio_bd[6]] ; ## GPIO_SW_RIGHT + +set_property -dict {PACKAGE_PIN Y21 IOSTANDARD LVCMOS25} [get_ports gpio_bd[7]] ; ## GPIO_LED_LEFT +set_property -dict {PACKAGE_PIN G2 IOSTANDARD LVCMOS15} [get_ports gpio_bd[8]] ; ## GPIO_LED_CENTER +set_property -dict {PACKAGE_PIN W21 IOSTANDARD LVCMOS25} [get_ports gpio_bd[9]] ; ## GPIO_LED_RIGHT +set_property -dict {PACKAGE_PIN A17 IOSTANDARD LVCMOS15} [get_ports gpio_bd[10]] ; ## GPIO_LED_0 + +set_property -dict {PACKAGE_PIN H14 IOSTANDARD LVCMOS15} [get_ports gpio_bd[11]] ; ## XADC_GPIO_0 +set_property -dict {PACKAGE_PIN J15 IOSTANDARD LVCMOS15} [get_ports gpio_bd[12]] ; ## XADC_GPIO_1 +set_property -dict {PACKAGE_PIN J16 IOSTANDARD LVCMOS15} [get_ports gpio_bd[13]] ; ## XADC_GPIO_2 +set_property -dict {PACKAGE_PIN J14 IOSTANDARD LVCMOS15} [get_ports gpio_bd[14]] ; ## XADC_GPIO_3 + diff --git a/projects/imageon/zc706/system_project.tcl b/projects/imageon/zc706/system_project.tcl index 18329559e..32c416aae 100644 --- a/projects/imageon/zc706/system_project.tcl +++ b/projects/imageon/zc706/system_project.tcl @@ -8,11 +8,7 @@ adi_project_create imageon_zc706 adi_project_files imageon_zc706 [list \ "system_top.v" \ "$ad_hdl_dir/library/common/ad_iobuf.v" \ - "system_constr.xdc" \ - "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] - -set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc] -set_property PROCESSING_ORDER EARLY [get_files system_constr.xdc] + "system_constr.xdc" ] adi_project_run imageon_zc706 diff --git a/projects/imageon/zc706/system_top.v b/projects/imageon/zc706/system_top.v index f8a26049e..9cd1cd2f6 100644 --- a/projects/imageon/zc706/system_top.v +++ b/projects/imageon/zc706/system_top.v @@ -34,8 +34,6 @@ // THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // *************************************************************************** // *************************************************************************** -// *************************************************************************** -// *************************************************************************** `timescale 1ns/100ps @@ -66,14 +64,6 @@ module system_top ( gpio_bd, - hdmi_out_clk, - hdmi_vsync, - hdmi_hsync, - hdmi_data_e, - hdmi_data, - - spdif, - iic_scl, iic_sda, @@ -115,14 +105,6 @@ module system_top ( inout [14:0] gpio_bd; - output hdmi_out_clk; - output hdmi_vsync; - output hdmi_hsync; - output hdmi_data_e; - output [23:0] hdmi_data; - - output spdif; - inout iic_scl; inout iic_sda; @@ -145,28 +127,13 @@ module system_top ( wire [63:0] gpio_o; wire [63:0] gpio_t; - // base hdmi - - assign hdmi_out_clk = 1'd0; - assign hdmi_vsync = 1'd0; - assign hdmi_hsync = 1'd0; - assign hdmi_data_e = 1'd0; - assign hdmi_data = 24'd0; - assign spdif = 1'd0; - // instantiations - ad_iobuf #(.DATA_WIDTH(1)) i_gpio_hdmi_iic_rstn ( - .dio_t (gpio_t[33]), - .dio_i (gpio_o[33]), - .dio_o (gpio_i[33]), - .dio_p (hdmi_iic_rstn)); - - ad_iobuf #(.DATA_WIDTH(1)) i_gpio_hdmi ( - .dio_t (gpio_t[32]), - .dio_i (gpio_o[32]), - .dio_o (gpio_i[32]), - .dio_p (hdmi_rx_int)); + ad_iobuf #(.DATA_WIDTH(2)) i_gpio ( + .dio_t (gpio_t[33:32]), + .dio_i (gpio_o[33:32]), + .dio_o (gpio_i[33:32]), + .dio_p ({hdmi_iic_rstn, hdmi_rx_int})); ad_iobuf #(.DATA_WIDTH(15)) i_gpio_bd ( .dio_t (gpio_t[14:0]), @@ -199,14 +166,10 @@ module system_top ( .gpio_i (gpio_i), .gpio_o (gpio_o), .gpio_t (gpio_t), - .hdmi_data (), - .hdmi_data_e (), - .hdmi_es_data (hdmi_tx_data), - .hdmi_hsync (), - .hdmi_out_clk (hdmi_tx_clk), .hdmi_rx_clk (hdmi_rx_clk), .hdmi_rx_data (hdmi_rx_data), - .hdmi_vsync (), + .hdmi_tx_clk (hdmi_tx_clk), + .hdmi_tx_data (hdmi_tx_data), .iic_imageon_scl_io (hdmi_iic_scl), .iic_imageon_sda_io (hdmi_iic_sda), .iic_main_scl_io (iic_scl), @@ -223,14 +186,14 @@ module system_top ( .ps_intr_09 (1'b0), .ps_intr_10 (1'b0), .ps_intr_13 (1'b0), - .spdif (hdmi_tx_spdif), .spdif_rx (hdmi_rx_spdif), + .spdif_tx (hdmi_tx_spdif), .spi0_clk_i (1'b0), .spi0_clk_o (), .spi0_csn_0_o (), .spi0_csn_1_o (), .spi0_csn_2_o (), - .spi0_csn_i (1'b0), + .spi0_csn_i (1'b1), .spi0_sdi_i (1'b0), .spi0_sdo_i (1'b0), .spi0_sdo_o (), @@ -239,7 +202,7 @@ module system_top ( .spi1_csn_0_o (), .spi1_csn_1_o (), .spi1_csn_2_o (), - .spi1_csn_i (1'b0), + .spi1_csn_i (1'b1), .spi1_sdi_i (1'b0), .spi1_sdo_i (1'b0), .spi1_sdo_o ());