diff --git a/library/axi_ad9361/Makefile b/library/axi_ad9361/Makefile index 74450da0d..8f9e5a0a0 100644 --- a/library/axi_ad9361/Makefile +++ b/library/axi_ad9361/Makefile @@ -20,6 +20,7 @@ M_DEPS += ../common/ad_dds.v M_DEPS += ../common/ad_datafmt.v M_DEPS += ../common/ad_dcfilter.v M_DEPS += ../common/ad_iqcor.v +M_DEPS += ../common/ad_addsub.v M_DEPS += ../common/ad_tdd_control.v M_DEPS += ../common/up_axi.v M_DEPS += ../common/up_xfer_cntrl.v diff --git a/library/util_axis_resize/Makefile b/library/util_axis_resize/Makefile index 97fd2f061..d6748f31c 100644 --- a/library/util_axis_resize/Makefile +++ b/library/util_axis_resize/Makefile @@ -9,7 +9,6 @@ M_DEPS := util_axis_resize_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += util_axis_resize.v -M_DEPS += M_VIVADO := vivado -mode batch -source diff --git a/projects/Makefile b/projects/Makefile index 241bba2fa..a5de545c9 100644 --- a/projects/Makefile +++ b/projects/Makefile @@ -19,7 +19,6 @@ all: -make -C ad9265_fmc all -make -C ad9434_fmc all -make -C cftl_std all - -make -C motcon1_fmc all -make -C fmcadc4 all -make -C fmcomms5 all -make -C imageon all @@ -48,7 +47,6 @@ clean: make -C ad9265_fmc clean make -C ad9434_fmc clean make -C cftl_std clean - make -C motcon1_fmc clean make -C fmcadc4 clean make -C fmcomms5 clean make -C imageon clean @@ -77,7 +75,6 @@ clean-all: make -C ad9265_fmc clean-all make -C ad9434_fmc clean-all make -C cftl_std clean-all - make -C motcon1_fmc clean-all make -C fmcadc4 clean-all make -C fmcomms5 clean-all make -C imageon clean-all diff --git a/projects/ad6676evb/vc707/Makefile b/projects/ad6676evb/vc707/Makefile index 64e013641..f7db366c5 100644 --- a/projects/ad6676evb/vc707/Makefile +++ b/projects/ad6676evb/vc707/Makefile @@ -12,7 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../common/ad6676evb_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr @@ -34,7 +34,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib ad6676evb_vc707.xpr +all: lib ad6676evb_vc707.sdk/system_top.hdf clean: @@ -48,7 +48,7 @@ clean-all:clean make -C ../../../library/axi_ad6676 clean -ad6676evb_vc707.xpr: $(M_DEPS) +ad6676evb_vc707.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1 diff --git a/projects/ad6676evb/zc706/Makefile b/projects/ad6676evb/zc706/Makefile index 90225edc2..d4be1aea1 100644 --- a/projects/ad6676evb/zc706/Makefile +++ b/projects/ad6676evb/zc706/Makefile @@ -36,7 +36,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib ad6676evb_zc706.xpr +all: lib ad6676evb_zc706.sdk/system_top.hdf clean: @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -ad6676evb_zc706.xpr: $(M_DEPS) +ad6676evb_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1 diff --git a/projects/ad9265_fmc/zc706/Makefile b/projects/ad9265_fmc/zc706/Makefile index c127ee898..701cf4c26 100644 --- a/projects/ad9265_fmc/zc706/Makefile +++ b/projects/ad9265_fmc/zc706/Makefile @@ -36,7 +36,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib ad9265_fmc_zc706.xpr +all: lib ad9265_fmc_zc706.sdk/system_top.hdf clean: @@ -52,7 +52,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -ad9265_fmc_zc706.xpr: $(M_DEPS) +ad9265_fmc_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad9265_fmc_zc706_vivado.log 2>&1 diff --git a/projects/ad9434_fmc/zc706/Makefile b/projects/ad9434_fmc/zc706/Makefile index fbaf88cb6..6e9209ac5 100644 --- a/projects/ad9434_fmc/zc706/Makefile +++ b/projects/ad9434_fmc/zc706/Makefile @@ -34,7 +34,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib ad9434_fmc_zc706.xpr +all: lib ad9434_fmc_zc706.sdk/system_top.hdf clean: @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -ad9434_fmc_zc706.xpr: $(M_DEPS) +ad9434_fmc_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad9434_fmc_zc706_vivado.log 2>&1 diff --git a/projects/ad9467_fmc/kc705/Makefile b/projects/ad9467_fmc/kc705/Makefile index da3b5da3a..1bc6cc8f1 100644 --- a/projects/ad9467_fmc/kc705/Makefile +++ b/projects/ad9467_fmc/kc705/Makefile @@ -12,7 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../common/ad9467_bd.tcl -M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr @@ -32,7 +32,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib ad9467_fmc_kc705.xpr +all: lib ad9467_fmc_kc705.sdk/system_top.hdf clean: @@ -44,7 +44,7 @@ clean-all:clean make -C ../../../library/axi_ad9467 clean -ad9467_fmc_kc705.xpr: $(M_DEPS) +ad9467_fmc_kc705.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad9467_fmc_kc705_vivado.log 2>&1 diff --git a/projects/ad9467_fmc/zed/Makefile b/projects/ad9467_fmc/zed/Makefile index 66fa739bd..3369b3419 100644 --- a/projects/ad9467_fmc/zed/Makefile +++ b/projects/ad9467_fmc/zed/Makefile @@ -36,7 +36,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib ad9467_fmc_zed.xpr +all: lib ad9467_fmc_zed.sdk/system_top.hdf clean: @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -ad9467_fmc_zed.xpr: $(M_DEPS) +ad9467_fmc_zed.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad9467_fmc_zed_vivado.log 2>&1 diff --git a/projects/ad9739a_fmc/zc706/Makefile b/projects/ad9739a_fmc/zc706/Makefile index 8ef66f1e9..7f73aa6f0 100644 --- a/projects/ad9739a_fmc/zc706/Makefile +++ b/projects/ad9739a_fmc/zc706/Makefile @@ -34,7 +34,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib ad9739a_fmc_zc706.xpr +all: lib ad9739a_fmc_zc706.sdk/system_top.hdf clean: @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -ad9739a_fmc_zc706.xpr: $(M_DEPS) +ad9739a_fmc_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> ad9739a_fmc_zc706_vivado.log 2>&1 diff --git a/projects/adv7511/ac701/Makefile b/projects/adv7511/ac701/Makefile index 5c073cc98..153caffb4 100644 --- a/projects/adv7511/ac701/Makefile +++ b/projects/adv7511/ac701/Makefile @@ -12,7 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl -M_DEPS += ../../common/ac701/ac701_system_mig.prj +M_DEPS += ../../common/ac701/ac701_system_mig.prj M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr @@ -33,7 +33,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib adv7511_ac701.xpr +all: lib adv7511_ac701.sdk/system_top.hdf clean: @@ -46,7 +46,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_ac701.xpr: $(M_DEPS) +adv7511_ac701.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_ac701_vivado.log 2>&1 diff --git a/projects/adv7511/kc705/Makefile b/projects/adv7511/kc705/Makefile index c759c8c08..2483d21b7 100644 --- a/projects/adv7511/kc705/Makefile +++ b/projects/adv7511/kc705/Makefile @@ -12,7 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl -M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr @@ -33,7 +33,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib adv7511_kc705.xpr +all: lib adv7511_kc705.sdk/system_top.hdf clean: @@ -46,7 +46,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_kc705.xpr: $(M_DEPS) +adv7511_kc705.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_kc705_vivado.log 2>&1 diff --git a/projects/adv7511/kcu105/Makefile b/projects/adv7511/kcu105/Makefile index 484987c1b..7b030f0cd 100644 --- a/projects/adv7511/kcu105/Makefile +++ b/projects/adv7511/kcu105/Makefile @@ -33,7 +33,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib adv7511_kcu105.xpr +all: lib adv7511_kcu105.sdk/system_top.hdf clean: @@ -46,7 +46,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_kcu105.xpr: $(M_DEPS) +adv7511_kcu105.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_kcu105_vivado.log 2>&1 diff --git a/projects/adv7511/mitx045/Makefile b/projects/adv7511/mitx045/Makefile index 33cef1c28..541afe637 100644 --- a/projects/adv7511/mitx045/Makefile +++ b/projects/adv7511/mitx045/Makefile @@ -33,7 +33,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib adv7511_mitx045.xpr +all: lib adv7511_mitx045.sdk/system_top.hdf clean: @@ -47,7 +47,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_mitx045.xpr: $(M_DEPS) +adv7511_mitx045.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_mitx045_vivado.log 2>&1 diff --git a/projects/adv7511/vc707/Makefile b/projects/adv7511/vc707/Makefile index 84a782856..3342bfca6 100644 --- a/projects/adv7511/vc707/Makefile +++ b/projects/adv7511/vc707/Makefile @@ -12,7 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr @@ -33,7 +33,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib adv7511_vc707.xpr +all: lib adv7511_vc707.sdk/system_top.hdf clean: @@ -46,7 +46,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_vc707.xpr: $(M_DEPS) +adv7511_vc707.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_vc707_vivado.log 2>&1 diff --git a/projects/adv7511/zc702/Makefile b/projects/adv7511/zc702/Makefile index 62c3cc6b4..20a72c7e3 100644 --- a/projects/adv7511/zc702/Makefile +++ b/projects/adv7511/zc702/Makefile @@ -31,7 +31,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib adv7511_zc702.xpr +all: lib adv7511_zc702.sdk/system_top.hdf clean: @@ -44,7 +44,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_zc702.xpr: $(M_DEPS) +adv7511_zc702.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_zc702_vivado.log 2>&1 diff --git a/projects/adv7511/zc706/Makefile b/projects/adv7511/zc706/Makefile index 1adcacaf7..25c03bc13 100644 --- a/projects/adv7511/zc706/Makefile +++ b/projects/adv7511/zc706/Makefile @@ -31,7 +31,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib adv7511_zc706.xpr +all: lib adv7511_zc706.sdk/system_top.hdf clean: @@ -44,7 +44,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_zc706.xpr: $(M_DEPS) +adv7511_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_zc706_vivado.log 2>&1 diff --git a/projects/adv7511/zed/Makefile b/projects/adv7511/zed/Makefile index 5c859259f..65f89757f 100644 --- a/projects/adv7511/zed/Makefile +++ b/projects/adv7511/zed/Makefile @@ -33,7 +33,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib adv7511_zed.xpr +all: lib adv7511_zed.sdk/system_top.hdf clean: @@ -48,7 +48,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -adv7511_zed.xpr: $(M_DEPS) +adv7511_zed.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> adv7511_zed_vivado.log 2>&1 diff --git a/projects/cftl_cip/zed/Makefile b/projects/cftl_cip/zed/Makefile index 9f9273237..5f1830b24 100644 --- a/projects/cftl_cip/zed/Makefile +++ b/projects/cftl_cip/zed/Makefile @@ -37,7 +37,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib cftl_cip_zed.xpr +all: lib cftl_cip_zed.sdk/system_top.hdf clean: @@ -55,7 +55,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -cftl_cip_zed.xpr: $(M_DEPS) +cftl_cip_zed.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> cftl_cip_zed_vivado.log 2>&1 diff --git a/projects/cftl_std/zed/Makefile b/projects/cftl_std/zed/Makefile index ef7deb0c1..26b2473c2 100644 --- a/projects/cftl_std/zed/Makefile +++ b/projects/cftl_std/zed/Makefile @@ -34,7 +34,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib cftl_std_zed.xpr +all: lib cftl_std_zed.sdk/system_top.hdf clean: @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -cftl_std_zed.xpr: $(M_DEPS) +cftl_std_zed.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> cftl_std_zed_vivado.log 2>&1 diff --git a/projects/daq1/zc706/Makefile b/projects/daq1/zc706/Makefile index dcacc98b5..a3ea55319 100644 --- a/projects/daq1/zc706/Makefile +++ b/projects/daq1/zc706/Makefile @@ -37,7 +37,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib daq1_zc706.xpr +all: lib daq1_zc706.sdk/system_top.hdf clean: @@ -55,7 +55,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -daq1_zc706.xpr: $(M_DEPS) +daq1_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> daq1_zc706_vivado.log 2>&1 diff --git a/projects/daq2/Makefile b/projects/daq2/Makefile index c582f8e2d..a6441f0c6 100644 --- a/projects/daq2/Makefile +++ b/projects/daq2/Makefile @@ -7,6 +7,7 @@ .PHONY: all clean clean-all all: + -make -C a10gx all -make -C kc705 all -make -C kcu105 all -make -C vc707 all @@ -14,6 +15,7 @@ all: clean: + make -C a10gx clean make -C kc705 clean make -C kcu105 clean make -C vc707 clean @@ -21,6 +23,7 @@ clean: clean-all: + make -C a10gx clean-all make -C kc705 clean-all make -C kcu105 clean-all make -C vc707 clean-all diff --git a/projects/daq2/a10gx/Makefile b/projects/daq2/a10gx/Makefile index 626326ea4..dcc2247b0 100644 --- a/projects/daq2/a10gx/Makefile +++ b/projects/daq2/a10gx/Makefile @@ -7,18 +7,36 @@ M_DEPS := system_project.tcl M_DEPS += ../../scripts/adi_env.tcl -M_DEPS += ../../common/a5gt/a5gt_system_assign.tcl +M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl M_DEPS += system_timing.tcl M_DEPS += ../../../library/common/altera/ad_jesd_align.v M_DEPS += ../../../library/common/altera/ad_xcvr_rx_rst.v -M_DEPS += ../common/fmcjesdadc1_spi.v +M_DEPS += ../common/daq2_spi.v M_DEPS += system_constr.sdc M_DEPS += system_top.v M_DEPS += system_bd.qsys +M_DEPS += ../../../library/axi_ad9144/axi_ad9144_hw.tcl +M_DEPS += ../../../library/common/altera/ad_rst.v +M_DEPS += ../../../library/common/altera/MULT_MACRO.v +M_DEPS += ../../../library/common/ad_mul.v +M_DEPS += ../../../library/common/ad_dds_sine.v +M_DEPS += ../../../library/common/ad_dds_1.v +M_DEPS += ../../../library/common/ad_dds.v +M_DEPS += ../../../library/common/ad_rst.v +M_DEPS += ../../../library/common/up_axi.v +M_DEPS += ../../../library/common/up_xfer_cntrl.v +M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/common/up_clock_mon.v +M_DEPS += ../../../library/common/up_drp_cntrl.v +M_DEPS += ../../../library/common/up_dac_common.v +M_DEPS += ../../../library/common/up_dac_channel.v +M_DEPS += ../../../library/axi_ad9144/axi_ad9144_channel.v +M_DEPS += ../../../library/axi_ad9144/axi_ad9144_core.v +M_DEPS += ../../../library/axi_ad9144/axi_ad9144_if.v +M_DEPS += ../../../library/axi_ad9144/axi_ad9144.v M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v -M_DEPS += ../../../library/common/up_axi.v M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v @@ -42,23 +60,16 @@ M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/response_generator.v M_DEPS += ../../../library/axi_dmac/axi_dmac.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl -M_DEPS += ../../../library/common/altera/ad_rst.v +M_DEPS += ../../../library/axi_ad9680/axi_ad9680_hw.tcl M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_datafmt.v -M_DEPS += ../../../library/common/up_axi.v -M_DEPS += ../../../library/common/up_xfer_cntrl.v -M_DEPS += ../../../library/common/up_xfer_status.v -M_DEPS += ../../../library/common/up_clock_mon.v -M_DEPS += ../../../library/common/up_drp_cntrl.v M_DEPS += ../../../library/common/up_delay_cntrl.v M_DEPS += ../../../library/common/up_adc_common.v M_DEPS += ../../../library/common/up_adc_channel.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_pnmon.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_if.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v +M_DEPS += ../../../library/axi_ad9680/axi_ad9680_pnmon.v +M_DEPS += ../../../library/axi_ad9680/axi_ad9680_channel.v +M_DEPS += ../../../library/axi_ad9680/axi_ad9680_if.v +M_DEPS += ../../../library/axi_ad9680/axi_ad9680.v M_ALTERA := quartus_sh --64bit -t @@ -91,7 +102,7 @@ M_FLIST += *.pin .PHONY: all clean clean-all -all: fmcjesdadc1.qpf +all: daq2_a10gx.sof @@ -102,9 +113,9 @@ clean-all: rm -rf $(M_FLIST) -fmcjesdadc1.qpf: $(M_DEPS) +daq2_a10gx.sof: $(M_DEPS) rm -rf $(M_FLIST) - $(M_ALTERA) system_project.tcl >> fmcjesdadc1_quartus.log 2>&1 + $(M_ALTERA) system_project.tcl >> daq2_a10gx_quartus.log 2>&1 #################################################################################### #################################################################################### diff --git a/projects/daq2/kc705/Makefile b/projects/daq2/kc705/Makefile index 861a76106..11b19b757 100644 --- a/projects/daq2/kc705/Makefile +++ b/projects/daq2/kc705/Makefile @@ -13,7 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/daq2_bd.tcl -M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr @@ -41,7 +41,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib daq2_kc705.xpr +all: lib daq2_kc705.sdk/system_top.hdf clean: @@ -61,7 +61,7 @@ clean-all:clean make -C ../../../library/axi_ad9144 clean -daq2_kc705.xpr: $(M_DEPS) +daq2_kc705.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> daq2_kc705_vivado.log 2>&1 diff --git a/projects/daq2/kcu105/Makefile b/projects/daq2/kcu105/Makefile index 5e07401c6..7e4eb80d3 100644 --- a/projects/daq2/kcu105/Makefile +++ b/projects/daq2/kcu105/Makefile @@ -41,7 +41,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib daq2_kcu105.xpr +all: lib daq2_kcu105.sdk/system_top.hdf clean: @@ -61,7 +61,7 @@ clean-all:clean make -C ../../../library/axi_ad9144 clean -daq2_kcu105.xpr: $(M_DEPS) +daq2_kcu105.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1 diff --git a/projects/daq2/vc707/Makefile b/projects/daq2/vc707/Makefile index c46de43a5..da4168377 100644 --- a/projects/daq2/vc707/Makefile +++ b/projects/daq2/vc707/Makefile @@ -13,7 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/daq2_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr @@ -41,7 +41,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib daq2_vc707.xpr +all: lib daq2_vc707.sdk/system_top.hdf clean: @@ -61,7 +61,7 @@ clean-all:clean make -C ../../../library/axi_ad9144 clean -daq2_vc707.xpr: $(M_DEPS) +daq2_vc707.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> daq2_vc707_vivado.log 2>&1 diff --git a/projects/daq2/zc706/Makefile b/projects/daq2/zc706/Makefile index 80bf68742..b24baa884 100644 --- a/projects/daq2/zc706/Makefile +++ b/projects/daq2/zc706/Makefile @@ -46,7 +46,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib daq2_zc706.xpr +all: lib daq2_zc706.sdk/system_top.hdf clean: @@ -70,7 +70,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -daq2_zc706.xpr: $(M_DEPS) +daq2_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> daq2_zc706_vivado.log 2>&1 diff --git a/projects/daq3/zc706/Makefile b/projects/daq3/zc706/Makefile index 11bf224ea..04af3fc92 100644 --- a/projects/daq3/zc706/Makefile +++ b/projects/daq3/zc706/Makefile @@ -41,7 +41,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib daq3_zc706.xpr +all: lib daq3_zc706.sdk/system_top.hdf clean: @@ -61,7 +61,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -daq3_zc706.xpr: $(M_DEPS) +daq3_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> daq3_zc706_vivado.log 2>&1 diff --git a/projects/fmcadc2/vc707/Makefile b/projects/fmcadc2/vc707/Makefile index 19fa4c40e..5814a454b 100644 --- a/projects/fmcadc2/vc707/Makefile +++ b/projects/fmcadc2/vc707/Makefile @@ -13,7 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/fmcadc2_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr @@ -37,7 +37,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcadc2_vc707.xpr +all: lib fmcadc2_vc707.sdk/system_top.hdf clean: @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/util_dacfifo clean -fmcadc2_vc707.xpr: $(M_DEPS) +fmcadc2_vc707.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1 diff --git a/projects/fmcadc2/zc706/Makefile b/projects/fmcadc2/zc706/Makefile index 9cf01d69f..5a0bd58aa 100644 --- a/projects/fmcadc2/zc706/Makefile +++ b/projects/fmcadc2/zc706/Makefile @@ -39,7 +39,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcadc2_zc706.xpr +all: lib fmcadc2_zc706.sdk/system_top.hdf clean: @@ -57,7 +57,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcadc2_zc706.xpr: $(M_DEPS) +fmcadc2_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcadc2_zc706_vivado.log 2>&1 diff --git a/projects/fmcadc4/zc706/Makefile b/projects/fmcadc4/zc706/Makefile index c27147baa..fa7fd7169 100644 --- a/projects/fmcadc4/zc706/Makefile +++ b/projects/fmcadc4/zc706/Makefile @@ -16,11 +16,11 @@ M_DEPS += ../common/fmcadc4_bd.tcl M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr +M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source @@ -39,7 +39,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcadc4_zc706.xpr +all: lib fmcadc4_zc706.sdk/system_top.hdf clean: @@ -49,15 +49,15 @@ clean: clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean + make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_adcfifo clean make -C ../../../library/axi_dmac clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean - make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_hdmi_tx clean -fmcadc4_zc706.xpr: $(M_DEPS) +fmcadc4_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcadc4_zc706_vivado.log 2>&1 @@ -65,11 +65,11 @@ fmcadc4_zc706.xpr: $(M_DEPS) lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit + make -C ../../../library/axi_ad9680 make -C ../../../library/axi_adcfifo make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen - make -C ../../../library/axi_ad9680 make -C ../../../library/axi_hdmi_tx #################################################################################### diff --git a/projects/fmcadc5/vc707/Makefile b/projects/fmcadc5/vc707/Makefile index 87797e006..175503754 100644 --- a/projects/fmcadc5/vc707/Makefile +++ b/projects/fmcadc5/vc707/Makefile @@ -13,7 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/fmcadc5_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr @@ -37,7 +37,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcadc5_vc707.xpr +all: lib fmcadc5_vc707.sdk/system_top.hdf clean: @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/util_dacfifo clean -fmcadc5_vc707.xpr: $(M_DEPS) +fmcadc5_vc707.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcadc5_vc707_vivado.log 2>&1 diff --git a/projects/fmcjesdadc1/a5gt/Makefile b/projects/fmcjesdadc1/a5gt/Makefile index 626326ea4..eb2d87766 100644 --- a/projects/fmcjesdadc1/a5gt/Makefile +++ b/projects/fmcjesdadc1/a5gt/Makefile @@ -15,10 +15,26 @@ M_DEPS += ../common/fmcjesdadc1_spi.v M_DEPS += system_constr.sdc M_DEPS += system_top.v M_DEPS += system_bd.qsys +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl +M_DEPS += ../../../library/common/altera/ad_rst.v +M_DEPS += ../../../library/common/ad_pnmon.v +M_DEPS += ../../../library/common/ad_datafmt.v +M_DEPS += ../../../library/common/up_axi.v +M_DEPS += ../../../library/common/up_xfer_cntrl.v +M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/common/up_clock_mon.v +M_DEPS += ../../../library/common/up_drp_cntrl.v +M_DEPS += ../../../library/common/up_delay_cntrl.v +M_DEPS += ../../../library/common/up_adc_common.v +M_DEPS += ../../../library/common/up_adc_channel.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_pnmon.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_if.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v +M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v -M_DEPS += ../../../library/common/up_axi.v M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v @@ -42,23 +58,6 @@ M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/response_generator.v M_DEPS += ../../../library/axi_dmac/axi_dmac.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl -M_DEPS += ../../../library/common/altera/ad_rst.v -M_DEPS += ../../../library/common/ad_pnmon.v -M_DEPS += ../../../library/common/ad_datafmt.v -M_DEPS += ../../../library/common/up_axi.v -M_DEPS += ../../../library/common/up_xfer_cntrl.v -M_DEPS += ../../../library/common/up_xfer_status.v -M_DEPS += ../../../library/common/up_clock_mon.v -M_DEPS += ../../../library/common/up_drp_cntrl.v -M_DEPS += ../../../library/common/up_delay_cntrl.v -M_DEPS += ../../../library/common/up_adc_common.v -M_DEPS += ../../../library/common/up_adc_channel.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_pnmon.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_if.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v M_ALTERA := quartus_sh --64bit -t @@ -91,7 +90,7 @@ M_FLIST += *.pin .PHONY: all clean clean-all -all: fmcjesdadc1.qpf +all: fmcjesdadc1_a5gt.sof @@ -102,9 +101,9 @@ clean-all: rm -rf $(M_FLIST) -fmcjesdadc1.qpf: $(M_DEPS) +fmcjesdadc1_a5gt.sof: $(M_DEPS) rm -rf $(M_FLIST) - $(M_ALTERA) system_project.tcl >> fmcjesdadc1_quartus.log 2>&1 + $(M_ALTERA) system_project.tcl >> fmcjesdadc1_a5gt_quartus.log 2>&1 #################################################################################### #################################################################################### diff --git a/projects/fmcjesdadc1/a5soc/Makefile b/projects/fmcjesdadc1/a5soc/Makefile index 06342ca17..d8fdf115d 100644 --- a/projects/fmcjesdadc1/a5soc/Makefile +++ b/projects/fmcjesdadc1/a5soc/Makefile @@ -15,50 +15,6 @@ M_DEPS += ../common/fmcjesdadc1_spi.v M_DEPS += system_constr.sdc M_DEPS += system_top.v M_DEPS += system_bd.qsys -M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl -M_DEPS += ../../../library/common/sync_bits.v -M_DEPS += ../../../library/common/sync_gray.v -M_DEPS += ../../../library/common/up_axi.v -M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v -M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v -M_DEPS += ../../../library/util_axis_fifo/address_gray.v -M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v -M_DEPS += ../../../library/util_axis_fifo/address_sync.v -M_DEPS += ../../../library/axi_dmac/inc_id.h -M_DEPS += ../../../library/axi_dmac/resp.h -M_DEPS += ../../../library/axi_dmac/address_generator.v -M_DEPS += ../../../library/axi_dmac/data_mover.v -M_DEPS += ../../../library/axi_dmac/request_arb.v -M_DEPS += ../../../library/axi_dmac/request_generator.v -M_DEPS += ../../../library/axi_dmac/response_handler.v -M_DEPS += ../../../library/axi_dmac/axi_register_slice.v -M_DEPS += ../../../library/axi_dmac/2d_transfer.v -M_DEPS += ../../../library/axi_dmac/dest_axi_mm.v -M_DEPS += ../../../library/axi_dmac/dest_axi_stream.v -M_DEPS += ../../../library/axi_dmac/dest_fifo_inf.v -M_DEPS += ../../../library/axi_dmac/src_axi_mm.v -M_DEPS += ../../../library/axi_dmac/src_axi_stream.v -M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/axi_dmac/splitter.v -M_DEPS += ../../../library/axi_dmac/response_generator.v -M_DEPS += ../../../library/axi_dmac/axi_dmac.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl -M_DEPS += ../../../library/common/altera/ad_rst.v -M_DEPS += ../../../library/common/ad_pnmon.v -M_DEPS += ../../../library/common/ad_datafmt.v -M_DEPS += ../../../library/common/up_axi.v -M_DEPS += ../../../library/common/up_xfer_cntrl.v -M_DEPS += ../../../library/common/up_xfer_status.v -M_DEPS += ../../../library/common/up_clock_mon.v -M_DEPS += ../../../library/common/up_drp_cntrl.v -M_DEPS += ../../../library/common/up_delay_cntrl.v -M_DEPS += ../../../library/common/up_adc_common.v -M_DEPS += ../../../library/common/up_adc_channel.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_pnmon.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_if.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v M_ALTERA := quartus_sh --64bit -t @@ -91,7 +47,7 @@ M_FLIST += *.pin .PHONY: all clean clean-all -all: fmcjesdadc1.qpf +all: fmcjesdadc1_a5soc.sof @@ -102,9 +58,9 @@ clean-all: rm -rf $(M_FLIST) -fmcjesdadc1.qpf: $(M_DEPS) +fmcjesdadc1_a5soc.sof: $(M_DEPS) rm -rf $(M_FLIST) - $(M_ALTERA) system_project.tcl >> fmcjesdadc1_quartus.log 2>&1 + $(M_ALTERA) system_project.tcl >> fmcjesdadc1_a5soc_quartus.log 2>&1 #################################################################################### #################################################################################### diff --git a/projects/fmcjesdadc1/kc705/Makefile b/projects/fmcjesdadc1/kc705/Makefile index b01c8ec70..3b0142f36 100644 --- a/projects/fmcjesdadc1/kc705/Makefile +++ b/projects/fmcjesdadc1/kc705/Makefile @@ -12,7 +12,7 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../common/fmcjesdadc1_bd.tcl -M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr @@ -34,7 +34,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcjesdadc1_kc705.xpr +all: lib fmcjesdadc1_kc705.sdk/system_top.hdf clean: @@ -48,7 +48,7 @@ clean-all:clean make -C ../../../library/axi_ad9250 clean -fmcjesdadc1_kc705.xpr: $(M_DEPS) +fmcjesdadc1_kc705.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcjesdadc1_kc705_vivado.log 2>&1 diff --git a/projects/fmcjesdadc1/vc707/Makefile b/projects/fmcjesdadc1/vc707/Makefile index f82ff2f27..e7f4bbd0a 100644 --- a/projects/fmcjesdadc1/vc707/Makefile +++ b/projects/fmcjesdadc1/vc707/Makefile @@ -12,7 +12,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../common/fmcjesdadc1_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr @@ -34,7 +34,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcjesdadc1_vc707.xpr +all: lib fmcjesdadc1_vc707.sdk/system_top.hdf clean: @@ -48,7 +48,7 @@ clean-all:clean make -C ../../../library/axi_ad9250 clean -fmcjesdadc1_vc707.xpr: $(M_DEPS) +fmcjesdadc1_vc707.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcjesdadc1_vc707_vivado.log 2>&1 diff --git a/projects/fmcjesdadc1/zc706/Makefile b/projects/fmcjesdadc1/zc706/Makefile index c1ffb945e..e65ea8c24 100644 --- a/projects/fmcjesdadc1/zc706/Makefile +++ b/projects/fmcjesdadc1/zc706/Makefile @@ -36,7 +36,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcjesdadc1_zc706.xpr +all: lib fmcjesdadc1_zc706.sdk/system_top.hdf clean: @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcjesdadc1_zc706.xpr: $(M_DEPS) +fmcjesdadc1_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcjesdadc1_zc706_vivado.log 2>&1 diff --git a/projects/fmcomms1/ac701/Makefile b/projects/fmcomms1/ac701/Makefile index a5306c238..3178944c7 100644 --- a/projects/fmcomms1/ac701/Makefile +++ b/projects/fmcomms1/ac701/Makefile @@ -13,7 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms1_bd.tcl -M_DEPS += ../../common/ac701/ac701_system_mig.prj +M_DEPS += ../../common/ac701/ac701_system_mig.prj M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr @@ -35,7 +35,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms1_ac701.xpr +all: lib fmcomms1_ac701.sdk/system_top.hdf clean: @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_ad9643 clean -fmcomms1_ac701.xpr: $(M_DEPS) +fmcomms1_ac701.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms1_ac701_vivado.log 2>&1 diff --git a/projects/fmcomms1/kc705/Makefile b/projects/fmcomms1/kc705/Makefile index aba50b521..213baf4d9 100644 --- a/projects/fmcomms1/kc705/Makefile +++ b/projects/fmcomms1/kc705/Makefile @@ -13,7 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms1_bd.tcl -M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr @@ -35,7 +35,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms1_kc705.xpr +all: lib fmcomms1_kc705.sdk/system_top.hdf clean: @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_ad9643 clean -fmcomms1_kc705.xpr: $(M_DEPS) +fmcomms1_kc705.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms1_kc705_vivado.log 2>&1 diff --git a/projects/fmcomms1/vc707/Makefile b/projects/fmcomms1/vc707/Makefile index 44ec1222a..737226c19 100644 --- a/projects/fmcomms1/vc707/Makefile +++ b/projects/fmcomms1/vc707/Makefile @@ -13,7 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms1_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr @@ -35,7 +35,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms1_vc707.xpr +all: lib fmcomms1_vc707.sdk/system_top.hdf clean: @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_ad9643 clean -fmcomms1_vc707.xpr: $(M_DEPS) +fmcomms1_vc707.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms1_vc707_vivado.log 2>&1 diff --git a/projects/fmcomms1/zc702/Makefile b/projects/fmcomms1/zc702/Makefile index 99a918f8b..583ed8926 100644 --- a/projects/fmcomms1/zc702/Makefile +++ b/projects/fmcomms1/zc702/Makefile @@ -37,7 +37,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms1_zc702.xpr +all: lib fmcomms1_zc702.sdk/system_top.hdf clean: @@ -54,7 +54,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms1_zc702.xpr: $(M_DEPS) +fmcomms1_zc702.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms1_zc702_vivado.log 2>&1 diff --git a/projects/fmcomms1/zc706/Makefile b/projects/fmcomms1/zc706/Makefile index c2abc31ee..35f41b7fa 100644 --- a/projects/fmcomms1/zc706/Makefile +++ b/projects/fmcomms1/zc706/Makefile @@ -37,7 +37,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms1_zc706.xpr +all: lib fmcomms1_zc706.sdk/system_top.hdf clean: @@ -54,7 +54,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms1_zc706.xpr: $(M_DEPS) +fmcomms1_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms1_zc706_vivado.log 2>&1 diff --git a/projects/fmcomms1/zed/Makefile b/projects/fmcomms1/zed/Makefile index f1b530507..57589b436 100644 --- a/projects/fmcomms1/zed/Makefile +++ b/projects/fmcomms1/zed/Makefile @@ -39,7 +39,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms1_zed.xpr +all: lib fmcomms1_zed.sdk/system_top.hdf clean: @@ -58,7 +58,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms1_zed.xpr: $(M_DEPS) +fmcomms1_zed.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms1_zed_vivado.log 2>&1 diff --git a/projects/fmcomms2/ac701/Makefile b/projects/fmcomms2/ac701/Makefile index ec4fcc179..330aca3b4 100644 --- a/projects/fmcomms2/ac701/Makefile +++ b/projects/fmcomms2/ac701/Makefile @@ -13,7 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl -M_DEPS += ../../common/ac701/ac701_system_mig.prj +M_DEPS += ../../common/ac701/ac701_system_mig.prj M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr @@ -36,7 +36,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms2_ac701.xpr +all: lib fmcomms2_ac701.sdk/system_top.hdf clean: @@ -51,7 +51,7 @@ clean-all:clean make -C ../../../library/axi_ad9361 clean -fmcomms2_ac701.xpr: $(M_DEPS) +fmcomms2_ac701.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_ac701_vivado.log 2>&1 diff --git a/projects/fmcomms2/c5soc/Makefile b/projects/fmcomms2/c5soc/Makefile index 41e6fb0ae..2a86d0217 100644 --- a/projects/fmcomms2/c5soc/Makefile +++ b/projects/fmcomms2/c5soc/Makefile @@ -12,10 +12,45 @@ M_DEPS += system_timing.tcl M_DEPS += system_constr.sdc M_DEPS += system_top.v M_DEPS += system_bd.qsys +M_DEPS += ../../../library/util_adc_pack/util_adc_pack_hw.tcl +M_DEPS += ../../../library/util_adc_pack/util_adc_pack.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl +M_DEPS += ../../../library/common/altera/ad_rst.v +M_DEPS += ../../../library/common/altera/ad_lvds_clk.v +M_DEPS += ../../../library/common/altera/ad_lvds_in.v +M_DEPS += ../../../library/common/altera/ad_lvds_out.v +M_DEPS += ../../../library/common/altera/MULT_MACRO.v +M_DEPS += ../../../library/common/altera/DSP48E1.v +M_DEPS += ../../../library/common/ad_mul.v +M_DEPS += ../../../library/common/ad_pnmon.v +M_DEPS += ../../../library/common/ad_dds_sine.v +M_DEPS += ../../../library/common/ad_dds_1.v +M_DEPS += ../../../library/common/ad_dds.v +M_DEPS += ../../../library/common/ad_datafmt.v +M_DEPS += ../../../library/common/ad_dcfilter.v +M_DEPS += ../../../library/common/ad_iqcor.v +M_DEPS += ../../../library/common/up_axi.v +M_DEPS += ../../../library/common/up_xfer_cntrl.v +M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/common/up_clock_mon.v +M_DEPS += ../../../library/common/up_delay_cntrl.v +M_DEPS += ../../../library/common/up_drp_cntrl.v +M_DEPS += ../../../library/common/up_adc_common.v +M_DEPS += ../../../library/common/up_adc_channel.v +M_DEPS += ../../../library/common/up_dac_common.v +M_DEPS += ../../../library/common/up_dac_channel.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_alt_lvds_tx.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_alt_lvds_rx.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_dev_if_alt.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_pnmon.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_channel.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx_channel.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx.v +M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v -M_DEPS += ../../../library/common/up_axi.v M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v @@ -39,23 +74,6 @@ M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/response_generator.v M_DEPS += ../../../library/axi_dmac/axi_dmac.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl -M_DEPS += ../../../library/common/altera/ad_rst.v -M_DEPS += ../../../library/common/ad_pnmon.v -M_DEPS += ../../../library/common/ad_datafmt.v -M_DEPS += ../../../library/common/up_axi.v -M_DEPS += ../../../library/common/up_xfer_cntrl.v -M_DEPS += ../../../library/common/up_xfer_status.v -M_DEPS += ../../../library/common/up_clock_mon.v -M_DEPS += ../../../library/common/up_drp_cntrl.v -M_DEPS += ../../../library/common/up_delay_cntrl.v -M_DEPS += ../../../library/common/up_adc_common.v -M_DEPS += ../../../library/common/up_adc_channel.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_pnmon.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_if.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v M_ALTERA := quartus_sh --64bit -t @@ -88,7 +106,7 @@ M_FLIST += *.pin .PHONY: all clean clean-all -all: fmcomms2.qpf +all: fmcomms2_c5soc.sof @@ -99,9 +117,9 @@ clean-all: rm -rf $(M_FLIST) -fmcomms2.qpf: $(M_DEPS) +fmcomms2_c5soc.sof: $(M_DEPS) rm -rf $(M_FLIST) - $(M_ALTERA) system_project.tcl >> fmcomms2_quartus.log 2>&1 + $(M_ALTERA) system_project.tcl >> fmcomms2_c5soc_quartus.log 2>&1 #################################################################################### #################################################################################### diff --git a/projects/fmcomms2/kc705/Makefile b/projects/fmcomms2/kc705/Makefile index 76f405951..4eb2277e7 100644 --- a/projects/fmcomms2/kc705/Makefile +++ b/projects/fmcomms2/kc705/Makefile @@ -13,7 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl -M_DEPS += ../../common/kc705/kc705_system_mig.prj +M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr @@ -36,7 +36,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms2_kc705.xpr +all: lib fmcomms2_kc705.sdk/system_top.hdf clean: @@ -51,7 +51,7 @@ clean-all:clean make -C ../../../library/axi_ad9361 clean -fmcomms2_kc705.xpr: $(M_DEPS) +fmcomms2_kc705.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_kc705_vivado.log 2>&1 diff --git a/projects/fmcomms2/mitx045/Makefile b/projects/fmcomms2/mitx045/Makefile index 7d7556da1..894ab00b9 100644 --- a/projects/fmcomms2/mitx045/Makefile +++ b/projects/fmcomms2/mitx045/Makefile @@ -40,7 +40,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms2_mitx045.xpr +all: lib fmcomms2_mitx045.sdk/system_top.hdf clean: @@ -59,7 +59,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_mitx045.xpr: $(M_DEPS) +fmcomms2_mitx045.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_mitx045_vivado.log 2>&1 diff --git a/projects/fmcomms2/rfsom/Makefile b/projects/fmcomms2/rfsom/Makefile index bb390f1b6..39b8c10e8 100644 --- a/projects/fmcomms2/rfsom/Makefile +++ b/projects/fmcomms2/rfsom/Makefile @@ -40,7 +40,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms2_rfsom.xpr +all: lib fmcomms2_rfsom.sdk/system_top.hdf clean: @@ -59,7 +59,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_rfsom.xpr: $(M_DEPS) +fmcomms2_rfsom.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_rfsom_vivado.log 2>&1 diff --git a/projects/fmcomms2/vc707/Makefile b/projects/fmcomms2/vc707/Makefile index 312387c60..0461b5cc0 100644 --- a/projects/fmcomms2/vc707/Makefile +++ b/projects/fmcomms2/vc707/Makefile @@ -13,7 +13,7 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl -M_DEPS += ../../common/vc707/vc707_system_mig.prj +M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr @@ -36,7 +36,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms2_vc707.xpr +all: lib fmcomms2_vc707.sdk/system_top.hdf clean: @@ -51,7 +51,7 @@ clean-all:clean make -C ../../../library/axi_ad9361 clean -fmcomms2_vc707.xpr: $(M_DEPS) +fmcomms2_vc707.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_vc707_vivado.log 2>&1 diff --git a/projects/fmcomms2/zc702/Makefile b/projects/fmcomms2/zc702/Makefile index e08612e8d..eaa62d1a5 100644 --- a/projects/fmcomms2/zc702/Makefile +++ b/projects/fmcomms2/zc702/Makefile @@ -38,7 +38,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms2_zc702.xpr +all: lib fmcomms2_zc702.sdk/system_top.hdf clean: @@ -56,7 +56,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_zc702.xpr: $(M_DEPS) +fmcomms2_zc702.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_zc702_vivado.log 2>&1 diff --git a/projects/fmcomms2/zc706/Makefile b/projects/fmcomms2/zc706/Makefile index 0b3f606bf..f87956c8b 100644 --- a/projects/fmcomms2/zc706/Makefile +++ b/projects/fmcomms2/zc706/Makefile @@ -13,7 +13,6 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl -M_DEPS += ../common/prcfg_bd.tcl M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr @@ -39,7 +38,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms2_zc706.xpr +all: lib fmcomms2_zc706.sdk/system_top.hdf clean: @@ -57,7 +56,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_zc706.xpr: $(M_DEPS) +fmcomms2_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_zc706_vivado.log 2>&1 diff --git a/projects/fmcomms2/zc706pr/Makefile b/projects/fmcomms2/zc706pr/Makefile index fb739d070..55d72cda8 100644 --- a/projects/fmcomms2/zc706pr/Makefile +++ b/projects/fmcomms2/zc706pr/Makefile @@ -14,7 +14,6 @@ M_DEPS += ../zc706/system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl -M_DEPS += ../common/prcfg_bd.tcl M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr @@ -40,7 +39,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms2_zc706pr.xpr +all: lib fmcomms2_zc706pr.sdk/system_top.hdf clean: @@ -58,7 +57,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_zc706pr.xpr: $(M_DEPS) +fmcomms2_zc706pr.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_zc706pr_vivado.log 2>&1 diff --git a/projects/fmcomms2/zed/Makefile b/projects/fmcomms2/zed/Makefile index 7a713640c..9bb31a7a7 100644 --- a/projects/fmcomms2/zed/Makefile +++ b/projects/fmcomms2/zed/Makefile @@ -40,7 +40,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms2_zed.xpr +all: lib fmcomms2_zed.sdk/system_top.hdf clean: @@ -60,7 +60,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_zed.xpr: $(M_DEPS) +fmcomms2_zed.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_zed_vivado.log 2>&1 diff --git a/projects/fmcomms2_pr/mitx045/Makefile b/projects/fmcomms2_pr/mitx045/Makefile index 606261822..ae44169f5 100644 --- a/projects/fmcomms2_pr/mitx045/Makefile +++ b/projects/fmcomms2_pr/mitx045/Makefile @@ -41,7 +41,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms2_pr_mitx045.xpr +all: lib fmcomms2_pr_mitx045.sdk/system_top.hdf clean: @@ -60,7 +60,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_pr_mitx045.xpr: $(M_DEPS) +fmcomms2_pr_mitx045.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_pr_mitx045_vivado.log 2>&1 diff --git a/projects/fmcomms2_pr/zc706/Makefile b/projects/fmcomms2_pr/zc706/Makefile index 3895287ba..6f7612c9a 100644 --- a/projects/fmcomms2_pr/zc706/Makefile +++ b/projects/fmcomms2_pr/zc706/Makefile @@ -39,7 +39,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms2_pr_zc706.xpr +all: lib fmcomms2_pr_zc706.sdk/system_top.hdf clean: @@ -57,7 +57,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms2_pr_zc706.xpr: $(M_DEPS) +fmcomms2_pr_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms2_pr_zc706_vivado.log 2>&1 diff --git a/projects/fmcomms5/zc702/Makefile b/projects/fmcomms5/zc702/Makefile index 5287739ba..e13f2a0d2 100644 --- a/projects/fmcomms5/zc702/Makefile +++ b/projects/fmcomms5/zc702/Makefile @@ -36,7 +36,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms5_zc702.xpr +all: lib fmcomms5_zc702.sdk/system_top.hdf clean: @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms5_zc702.xpr: $(M_DEPS) +fmcomms5_zc702.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms5_zc702_vivado.log 2>&1 diff --git a/projects/fmcomms5/zc706/Makefile b/projects/fmcomms5/zc706/Makefile index 141208868..95f82c1ca 100644 --- a/projects/fmcomms5/zc706/Makefile +++ b/projects/fmcomms5/zc706/Makefile @@ -36,7 +36,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms5_zc706.xpr +all: lib fmcomms5_zc706.sdk/system_top.hdf clean: @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms5_zc706.xpr: $(M_DEPS) +fmcomms5_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms5_zc706_vivado.log 2>&1 diff --git a/projects/fmcomms6/zc706/Makefile b/projects/fmcomms6/zc706/Makefile index 2a8cec6c9..3ef7c7b1b 100644 --- a/projects/fmcomms6/zc706/Makefile +++ b/projects/fmcomms6/zc706/Makefile @@ -36,7 +36,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms6_zc706.xpr +all: lib fmcomms6_zc706.sdk/system_top.hdf clean: @@ -52,7 +52,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms6_zc706.xpr: $(M_DEPS) +fmcomms6_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms6_zc706_vivado.log 2>&1 diff --git a/projects/fmcomms7/zc706/Makefile b/projects/fmcomms7/zc706/Makefile index dde77bf2c..f75b0c01e 100644 --- a/projects/fmcomms7/zc706/Makefile +++ b/projects/fmcomms7/zc706/Makefile @@ -41,7 +41,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms7_zc706.xpr +all: lib fmcomms7_zc706.sdk/system_top.hdf clean: @@ -61,7 +61,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -fmcomms7_zc706.xpr: $(M_DEPS) +fmcomms7_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> fmcomms7_zc706_vivado.log 2>&1 diff --git a/projects/imageon/zc706/Makefile b/projects/imageon/zc706/Makefile index f624c185c..96f55cc25 100644 --- a/projects/imageon/zc706/Makefile +++ b/projects/imageon/zc706/Makefile @@ -34,7 +34,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib imageon_zc706.xpr +all: lib imageon_zc706.sdk/system_top.hdf clean: @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -imageon_zc706.xpr: $(M_DEPS) +imageon_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> imageon_zc706_vivado.log 2>&1 diff --git a/projects/imageon/zed/Makefile b/projects/imageon/zed/Makefile index 16af44455..42a97dace 100644 --- a/projects/imageon/zed/Makefile +++ b/projects/imageon/zed/Makefile @@ -36,7 +36,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib imageon_zed.xpr +all: lib imageon_zed.sdk/system_top.hdf clean: @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -imageon_zed.xpr: $(M_DEPS) +imageon_zed.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> imageon_zed_vivado.log 2>&1 diff --git a/projects/imageon_loopback/zed/Makefile b/projects/imageon_loopback/zed/Makefile index 49509dc83..4cafea5e0 100644 --- a/projects/imageon_loopback/zed/Makefile +++ b/projects/imageon_loopback/zed/Makefile @@ -34,7 +34,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib imageon_loopback_zed.xpr +all: lib imageon_loopback_zed.sdk/system_top.hdf clean: @@ -49,7 +49,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -imageon_loopback_zed.xpr: $(M_DEPS) +imageon_loopback_zed.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> imageon_loopback_zed_vivado.log 2>&1 diff --git a/projects/motcon2_fmc/zed/Makefile b/projects/motcon2_fmc/zed/Makefile index 6697b46fc..7f553ae5b 100644 --- a/projects/motcon2_fmc/zed/Makefile +++ b/projects/motcon2_fmc/zed/Makefile @@ -41,7 +41,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib motcon2_fmc_zed.xpr +all: lib motcon2_fmc_zed.sdk/system_top.hdf clean: @@ -63,7 +63,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -motcon2_fmc_zed.xpr: $(M_DEPS) +motcon2_fmc_zed.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> motcon2_fmc_zed_vivado.log 2>&1 diff --git a/projects/usdrx1/a5gt/Makefile b/projects/usdrx1/a5gt/Makefile index 560bbfdd6..15efac092 100644 --- a/projects/usdrx1/a5gt/Makefile +++ b/projects/usdrx1/a5gt/Makefile @@ -15,10 +15,26 @@ M_DEPS += ../common/usdrx1_spi.v M_DEPS += system_constr.sdc M_DEPS += system_top.v M_DEPS += system_bd.qsys +M_DEPS += ../../../library/axi_ad9671/axi_ad9671_hw.tcl +M_DEPS += ../../../library/common/altera/ad_rst.v +M_DEPS += ../../../library/common/ad_pnmon.v +M_DEPS += ../../../library/common/ad_datafmt.v +M_DEPS += ../../../library/common/up_axi.v +M_DEPS += ../../../library/common/up_xfer_cntrl.v +M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/common/up_clock_mon.v +M_DEPS += ../../../library/common/up_drp_cntrl.v +M_DEPS += ../../../library/common/up_delay_cntrl.v +M_DEPS += ../../../library/common/up_adc_common.v +M_DEPS += ../../../library/common/up_adc_channel.v +M_DEPS += ../../../library/common/ad_mem.v +M_DEPS += ../../../library/axi_ad9671/axi_ad9671_pnmon.v +M_DEPS += ../../../library/axi_ad9671/axi_ad9671_if.v +M_DEPS += ../../../library/axi_ad9671/axi_ad9671_channel.v +M_DEPS += ../../../library/axi_ad9671/axi_ad9671.v M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v -M_DEPS += ../../../library/common/up_axi.v M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v @@ -42,40 +58,6 @@ M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/response_generator.v M_DEPS += ../../../library/axi_dmac/axi_dmac.v -M_DEPS += ../../../library/axi_ad9671/axi_ad9671_hw.tcl -M_DEPS += ../../../library/common/altera/ad_rst.v -M_DEPS += ../../../library/common/ad_pnmon.v -M_DEPS += ../../../library/common/ad_datafmt.v -M_DEPS += ../../../library/common/up_axi.v -M_DEPS += ../../../library/common/up_xfer_cntrl.v -M_DEPS += ../../../library/common/up_xfer_status.v -M_DEPS += ../../../library/common/up_clock_mon.v -M_DEPS += ../../../library/common/up_drp_cntrl.v -M_DEPS += ../../../library/common/up_delay_cntrl.v -M_DEPS += ../../../library/common/up_adc_common.v -M_DEPS += ../../../library/common/up_adc_channel.v -M_DEPS += ../../../library/common/ad_mem.v -M_DEPS += ../../../library/axi_ad9671/axi_ad9671_pnmon.v -M_DEPS += ../../../library/axi_ad9671/axi_ad9671_if.v -M_DEPS += ../../../library/axi_ad9671/axi_ad9671_channel.v -M_DEPS += ../../../library/axi_ad9671/axi_ad9671.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl -M_DEPS += ../../../library/common/altera/ad_rst.v -M_DEPS += ../../../library/common/ad_pnmon.v -M_DEPS += ../../../library/common/ad_datafmt.v -M_DEPS += ../../../library/common/up_axi.v -M_DEPS += ../../../library/common/up_xfer_cntrl.v -M_DEPS += ../../../library/common/up_xfer_status.v -M_DEPS += ../../../library/common/up_clock_mon.v -M_DEPS += ../../../library/common/up_drp_cntrl.v -M_DEPS += ../../../library/common/up_delay_cntrl.v -M_DEPS += ../../../library/common/up_adc_common.v -M_DEPS += ../../../library/common/up_adc_channel.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_pnmon.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_if.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v -M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v M_ALTERA := quartus_sh --64bit -t @@ -108,7 +90,7 @@ M_FLIST += *.pin .PHONY: all clean clean-all -all: usdrx1.qpf +all: usdrx1_a5gt.sof @@ -119,9 +101,9 @@ clean-all: rm -rf $(M_FLIST) -usdrx1.qpf: $(M_DEPS) +usdrx1_a5gt.sof: $(M_DEPS) rm -rf $(M_FLIST) - $(M_ALTERA) system_project.tcl >> usdrx1_quartus.log 2>&1 + $(M_ALTERA) system_project.tcl >> usdrx1_a5gt_quartus.log 2>&1 #################################################################################### #################################################################################### diff --git a/projects/usdrx1/zc706/Makefile b/projects/usdrx1/zc706/Makefile index 4a8b6c4a8..c087ae83b 100644 --- a/projects/usdrx1/zc706/Makefile +++ b/projects/usdrx1/zc706/Makefile @@ -36,7 +36,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib usdrx1_zc706.xpr +all: lib usdrx1_zc706.sdk/system_top.hdf clean: @@ -53,7 +53,7 @@ clean-all:clean make -C ../../../library/axi_hdmi_tx clean -usdrx1_zc706.xpr: $(M_DEPS) +usdrx1_zc706.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) $(M_VIVADO) system_project.tcl >> usdrx1_zc706_vivado.log 2>&1