library: Delete all adi_ip_constraint process call

main
Istvan Csomortani 2017-04-06 12:36:47 +03:00
parent c637d848bb
commit c1bdfca4c3
51 changed files with 57 additions and 263 deletions

View File

@ -25,12 +25,6 @@ adi_ip_files axi_ad6676 [list \
"axi_ad6676.v" ]
adi_ip_properties axi_ad6676
adi_ip_constraints axi_ad6676 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad6676_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *rx_valid* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]

View File

@ -31,13 +31,6 @@ adi_ip_files axi_ad9122 [list \
adi_ip_properties axi_ad9122
adi_ip_constraints axi_ad9122 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9122_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dac_sync_in* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]

View File

@ -27,12 +27,6 @@ adi_ip_files axi_ad9144 [list \
adi_ip_properties axi_ad9144
adi_ip_constraints axi_ad9144 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *tx_ready* -of_objects [ipx::current_core]]

View File

@ -27,12 +27,6 @@ adi_ip_files axi_ad9152 [list \
adi_ip_properties axi_ad9152
adi_ip_constraints axi_ad9152 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *tx_ready* -of_objects [ipx::current_core]]

View File

@ -27,12 +27,6 @@ adi_ip_files axi_ad9162 [list \
adi_ip_properties axi_ad9162
adi_ip_constraints axi_ad9162 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *tx_ready* -of_objects [ipx::current_core]]

View File

@ -21,9 +21,6 @@ adi_ip_files axi_ad9234 [list \
adi_ip_properties axi_ad9234
adi_ip_constraints axi_ad9234 [list \
"axi_ad9234_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]

View File

@ -27,13 +27,6 @@ adi_ip_files axi_ad9250 [list \
adi_ip_properties axi_ad9250
adi_ip_constraints axi_ad9250 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9250_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *rx_valid* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]

View File

@ -30,13 +30,6 @@ adi_ip_files axi_ad9265 [list \
adi_ip_properties axi_ad9265
adi_ip_constraints axi_ad9265 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9265_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]

View File

@ -50,13 +50,6 @@ adi_ip_files axi_ad9361 [list \
adi_ip_properties axi_ad9361
adi_ip_constraints axi_ad9361 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9361_constr.xdc"]
set_property driver_value 0 [ipx::get_ports *rx_clk_in* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *rx_frame_in* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *rx_data_in* -of_objects [ipx::current_core]]

View File

@ -36,12 +36,6 @@ adi_ip_files axi_ad9371 [list \
adi_ip_properties axi_ad9371
adi_ip_constraints axi_jesd_gt [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dac_sync_in* -of_objects [ipx::current_core]]

View File

@ -30,13 +30,6 @@ adi_ip_files axi_ad9434 [list \
adi_ip_properties axi_ad9434
adi_ip_constraints axi_ad9434 [list \
"axi_ad9434_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
ipx::save_core [ipx::current_core]

View File

@ -29,13 +29,6 @@ adi_ip_files axi_ad9467 [list \
adi_ip_properties axi_ad9467
adi_ip_constraints axi_ad9467 [list \
"axi_ad9467_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]

View File

@ -28,13 +28,6 @@ adi_ip_files axi_ad9625 [list \
adi_ip_properties axi_ad9625
adi_ip_constraints axi_ad9625 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9625_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *raddr_in* -of_objects [ipx::current_core]]

View File

@ -32,13 +32,6 @@ adi_ip_files axi_ad9643 [list \
adi_ip_properties axi_ad9643
adi_ip_constraints axi_ad9643 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9643_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *gpio_in* -of_objects [ipx::current_core]]

View File

@ -31,13 +31,6 @@ adi_ip_files axi_ad9652 [list \
adi_ip_properties axi_ad9652
adi_ip_constraints axi_ad9652 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9652_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *gpio_in* -of_objects [ipx::current_core]]

View File

@ -28,13 +28,6 @@ adi_ip_files axi_ad9671 [list \
adi_ip_properties axi_ad9671
adi_ip_constraints axi_ad9671 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9671_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *rx_valid* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]

View File

@ -26,12 +26,6 @@ adi_ip_files axi_ad9680 [list \
adi_ip_properties axi_ad9680
adi_ip_constraints axi_ad9680 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *rx_valid* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]

View File

@ -31,13 +31,6 @@ adi_ip_files axi_ad9684 [list \
adi_ip_properties axi_ad9684
adi_ip_constraints axi_ad9684 [list \
"axi_ad9684_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]

View File

@ -29,13 +29,6 @@ adi_ip_files axi_ad9739a [list \
adi_ip_properties axi_ad9739a
adi_ip_constraints axi_ad9739a [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9739a_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]

View File

@ -38,11 +38,6 @@ adi_ip_files axi_ad9963 [list \
"axi_ad9963.v" ]
adi_ip_properties axi_ad9963
adi_ip_constraints axi_ad9963 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dac_sync_in* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]

View File

@ -15,8 +15,6 @@ adi_ip_files axi_adc_decimate [list \
"axi_adc_decimate.v" ]
adi_ip_properties axi_adc_decimate
adi_ip_constraints axi_adc_decimate [list \
"axi_adc_decimate_constr.xdc" ]
ipx::remove_bus_interface {clk} [ipx::current_core]
ipx::associate_bus_interfaces -busif s_axi -clock s_axi_aclk [ipx::current_core]

View File

@ -12,8 +12,6 @@ adi_ip_files axi_adc_trigger [list \
"axi_adc_trigger.v" ]
adi_ip_properties axi_adc_trigger
adi_ip_constraints axi_adc_trigger [list \
"axi_adc_trigger_constr.xdc" ]
ipx::remove_bus_interface {clk} [ipx::current_core]
ipx::associate_bus_interfaces -busif s_axi -clock s_axi_aclk [ipx::current_core]

View File

@ -19,9 +19,6 @@ ipx::associate_bus_interfaces -busif s_axi -clock s_axi_aclk [ipx::current_core]
set_property driver_value 0 [ipx::get_ports *clk2* -of_objects [ipx::current_core]]
adi_ip_constraints axi_clkgen [list \
"axi_clkgen_constr.xdc" ]
ipx::save_core [ipx::current_core]

View File

@ -15,8 +15,6 @@ adi_ip_files axi_dac_interpolate [list \
"axi_dac_interpolate.v" ]
adi_ip_properties axi_dac_interpolate
adi_ip_constraints axi_dac_interpolate [list \
"axi_dac_interpolate_constr.xdc" ]
ipx::remove_bus_interface {clk} [ipx::current_core]
ipx::associate_bus_interfaces -busif s_axi -clock s_axi_aclk [ipx::current_core]

View File

@ -15,42 +15,39 @@ adi_ip_files axi_gpreg [list \
adi_ip_properties axi_gpreg
adi_ip_constraints axi_gpreg [list \
"axi_gpreg_constr.xdc" ]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 0} \
[ipx::get_ports up_gp_*_0 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_0 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 1} \
[ipx::get_ports up_gp_*_1 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_1 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 2} \
[ipx::get_ports up_gp_*_2 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_2 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 3} \
[ipx::get_ports up_gp_*_3 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_3 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 4} \
[ipx::get_ports up_gp_*_4 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_4 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 5} \
[ipx::get_ports up_gp_*_5 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_5 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 6} \
[ipx::get_ports up_gp_*_6 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_6 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 7} \
[ipx::get_ports up_gp_*_7 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_7 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 0} \
[ipx::get_ports d_clk_0 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_0 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 1} \
[ipx::get_ports d_clk_1 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_1 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 2} \
[ipx::get_ports d_clk_2 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_2 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 3} \
[ipx::get_ports d_clk_3 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_3 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 4} \
[ipx::get_ports d_clk_4 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_4 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 5} \
[ipx::get_ports d_clk_5 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_5 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 6} \
[ipx::get_ports d_clk_6 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_6 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 7} \
[ipx::get_ports d_clk_7 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_7 -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports -filter "direction==in" -of_objects [ipx::current_core]]

View File

@ -27,12 +27,6 @@ adi_ip_files axi_hdmi_rx [list \
"axi_hdmi_rx_core.v" ]
adi_ip_properties axi_hdmi_rx
adi_ip_constraints axi_hdmi_rx [list \
"axi_hdmi_rx_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]
ipx::save_core [ipx::current_core]

View File

@ -29,13 +29,6 @@ adi_ip_files axi_hdmi_tx [list \
adi_ip_properties axi_hdmi_tx
adi_ip_constraints axi_hdmi_tx [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_hdmi_tx_constr.xdc"]
ipx::remove_bus_interface hdmi_clk [ipx::current_core]
ipx::remove_bus_interface hdmi_out_clk [ipx::current_core]
ipx::remove_bus_interface vdma_clk [ipx::current_core]

View File

@ -21,7 +21,6 @@ adi_ip_files axi_i2s_adi [list \
adi_ip_properties axi_i2s_adi
adi_ip_infer_streaming_interfaces axi_i2s_adi
adi_ip_constraints axi_spdif_tx axi_i2s_adi_constr.xdc late
adi_add_bus "DMA_ACK_RX" "slave" \
"xilinx.com:interface:axis_rtl:1.0" \

View File

@ -15,8 +15,6 @@ adi_ip_files axi_logic_analyzer [list \
"axi_logic_analyzer.v" ]
adi_ip_properties axi_logic_analyzer
adi_ip_constraints axi_logic_analyzer [list \
"axi_logic_analyzer_constr.xdc" ]
ipx::remove_bus_interface {clk} [ipx::current_core]
ipx::associate_bus_interfaces -busif s_axi -clock s_axi_aclk [ipx::current_core]

View File

@ -22,9 +22,6 @@ adi_ip_files axi_mc_controller [list \
adi_ip_properties axi_mc_controller
adi_ip_constraints axi_mc_controller [list \
"axi_mc_controller_constr.xdc" ]
ipx::save_core [ipx::current_core]

View File

@ -20,9 +20,6 @@ adi_ip_files axi_mc_current_monitor [list \
adi_ip_properties axi_mc_current_monitor
adi_ip_constraints axi_mc_current_monitor [list \
"axi_mc_current_monitor_constr.xdc" ]
ipx::save_core [ipx::current_core]

View File

@ -21,9 +21,6 @@ adi_ip_files axi_mc_speed [list \
adi_ip_properties axi_mc_speed
adi_ip_constraints axi_mc_speed [list \
"axi_mc_speed_constr.xdc" ]
ipx::save_core [ipx::current_core]

View File

@ -18,7 +18,6 @@ adi_ip_files axi_spdif_rx [list \
adi_ip_properties axi_spdif_rx
adi_ip_infer_streaming_interfaces axi_spdif_rx
adi_ip_constraints axi_spdif_tx axi_spdif_rx_constr.xdc
adi_add_bus "DMA_ACK" "slave" \
"xilinx.com:interface:axis_rtl:1.0" \

View File

@ -16,7 +16,6 @@ adi_ip_files axi_spdif_tx [list \
adi_ip_properties axi_spdif_tx
adi_ip_infer_streaming_interfaces axi_spdif_tx
adi_ip_constraints axi_spdif_tx axi_spdif_tx_constr.xdc
adi_add_bus "DMA_ACK" "slave" \
"xilinx.com:interface:axis_rtl:1.0" \

View File

@ -11,8 +11,6 @@ adi_ip_files util_adcfifo [list \
"util_adcfifo_constr.xdc" ]
adi_ip_properties_lite util_adcfifo
adi_ip_constraints util_adcfifo [list \
"util_adcfifo_constr.xdc" ]
ipx::save_core [ipx::current_core]

View File

@ -9,8 +9,6 @@ adi_ip_files util_bsplit [list \
"util_bsplit_constr.xdc" ]
adi_ip_properties_lite util_bsplit
adi_ip_constraints util_bsplit [list \
"util_bsplit_constr.xdc" ]
set_property -dict {driver_value {0} enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 1}} \
[ipx::get_ports *_1* -of_objects [ipx::current_core]] \

View File

@ -9,8 +9,6 @@ adi_ip_files util_ccat [list \
"util_ccat_constr.xdc" ]
adi_ip_properties_lite util_ccat
adi_ip_constraints util_ccat [list \
"util_ccat_constr.xdc" ]
set_property -dict {driver_value {0} enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 1}} \
[ipx::get_ports *_1* -of_objects [ipx::current_core]] \

View File

@ -8,9 +8,6 @@ adi_ip_files util_clkdiv [list \
adi_ip_properties_lite util_clkdiv
adi_ip_constraints util_clkdiv [list \
"util_clkdiv_constr.xdc" ]
set_property processing_order LATE [ipx::get_files "util_clkdiv_constr.xdc" \
-of_objects [ipx::get_file_groups -of_objects [ipx::current_core] -filter {NAME =~ *synthesis*}]]

View File

@ -11,8 +11,6 @@ adi_ip_files util_cpack [list \
"util_cpack_constr.xdc" ]
adi_ip_properties_lite util_cpack
adi_ip_constraints util_cpack [list \
"util_cpack_constr.xdc" ]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 1} \
[ipx::get_ports *_1* -of_objects [ipx::current_core]]

View File

@ -10,8 +10,6 @@ adi_ip_files util_dacfifo [list \
"util_dacfifo_constr.xdc"]
adi_ip_properties_lite util_dacfifo
adi_ip_constraints util_dacfifo [list \
"util_dacfifo_constr.xdc" ]
ipx::remove_all_bus_interface [ipx::current_core]
ipx::save_core [ipx::current_core]

View File

@ -11,9 +11,6 @@ adi_ip_files util_gmii_to_rgmii [list \
adi_ip_properties_lite util_gmii_to_rgmii
adi_ip_constraints util_gmii_to_rgmii [list \
"util_gmii_to_rgmii_constr.xdc" ]
ipx::infer_bus_interface {gmii_tx_clk gmii_txd gmii_tx_en gmii_tx_er gmii_crs gmii_col gmii_rx_clk gmii_rxd gmii_rx_dv gmii_rx_er} xilinx.com:interface:gmii_rtl:1.0 [ipx::current_core]
set_property name {gmii} [ipx::get_bus_interfaces gmii_1 -of_objects [ipx::current_core]]
ipx::infer_bus_interface {rgmii_td rgmii_tx_ctl rgmii_txc rgmii_rd rgmii_rx_ctl rgmii_rxc} xilinx.com:interface:rgmii_rtl:1.0 [ipx::current_core]

View File

@ -10,14 +10,11 @@ adi_ip_files util_mfifo [list \
adi_ip_properties_lite util_mfifo
adi_ip_constraints util_mfifo [list \
"util_mfifo_constr.xdc" ]
ipx::remove_all_bus_interface [ipx::current_core]
set_property driver_value 0 [ipx::get_ports *din_valid* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *din_data* -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 1} \
[ipx::get_ports *_1* -of_objects [ipx::current_core]]
[ipx::get_ports *_1* -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 2} \
[ipx::get_ports *_2* -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CHANNELS')) > 3} \

View File

@ -11,8 +11,5 @@ adi_ip_files util_pmod_adc [list \
adi_ip_properties_lite util_pmod_adc
adi_ip_constraints util_pmod_adc [list \
"util_pmod_adc_constr.xdc" ]
ipx::save_core [ipx::current_core]

View File

@ -11,9 +11,6 @@ adi_ip_files util_rfifo [list \
adi_ip_properties_lite util_rfifo
adi_ip_constraints util_rfifo [list \
"util_rfifo_constr.xdc" ]
ipx::remove_all_bus_interface [ipx::current_core]
set_property driver_value 0 [ipx::get_ports *dout_enable* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dout_valid* -of_objects [ipx::current_core]]

View File

@ -11,9 +11,6 @@ adi_ip_files util_tdd_sync [list \
"util_tdd_sync.v"]
adi_ip_properties_lite util_tdd_sync
adi_ip_constraints util_tdd_sync [list \
"util_tdd_sync_constr.xdc" \
]
ipx::save_core [ipx::current_core]

View File

@ -11,8 +11,6 @@ adi_ip_files util_upack [list \
"util_upack_constr.xdc" ]
adi_ip_properties_lite util_upack
adi_ip_constraints util_upack [list \
"util_upack_constr.xdc" ]
set_property driver_value 0 [ipx::get_ports *dac_enable* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dac_valid* -of_objects [ipx::current_core]]

View File

@ -11,9 +11,6 @@ adi_ip_files util_wfifo [list \
adi_ip_properties_lite util_wfifo
adi_ip_constraints util_wfifo [list \
"util_wfifo_constr.xdc" ]
ipx::remove_all_bus_interface [ipx::current_core]
set_property driver_value 0 [ipx::get_ports *din_enable* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *din_valid* -of_objects [ipx::current_core]]

View File

@ -17,8 +17,6 @@ adi_ip_files axi_adcfifo [list \
"axi_adcfifo_constr.xdc" ]
adi_ip_properties_lite axi_adcfifo
adi_ip_constraints axi_adcfifo [list \
"axi_adcfifo_constr.xdc" ]
ipx::infer_bus_interface {\
axi_awvalid \

View File

@ -16,8 +16,6 @@ adi_ip_files axi_dacfifo [list \
"axi_dacfifo.v"]
adi_ip_properties_lite axi_dacfifo
adi_ip_constraints axi_dacfifo [list \
"axi_dacfifo_constr.xdc" ]
ipx::infer_bus_interface {\
axi_awvalid \

View File

@ -13,7 +13,6 @@ adi_ip_files axi_xcvrlb [list \
"axi_xcvrlb.v" ]
adi_ip_properties_lite axi_xcvrlb
adi_ip_constraints axi_xcvrlb "axi_xcvrlb_constr.xdc"
ipx::remove_all_bus_interface [ipx::current_core]

View File

@ -11,7 +11,6 @@ adi_ip_files util_adxcvr [list \
"util_adxcvr.v" ]
adi_ip_properties_lite util_adxcvr
adi_ip_constraints util_adxcvr "util_adxcvr_constr.xdc"
ipx::remove_all_bus_interface [ipx::current_core]
@ -126,24 +125,24 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_0 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 0} \
[ipx::get_ports tx_*0* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*0* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 0) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 0)} \
[ipx::get_ports cpll_ref_clk_0 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_0 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_0 -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 0) or \
(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 0)} \
[ipx::get_ports qpll_ref_clk_0 -of_objects [ipx::current_core]] \
[ipx::get_ports up_qpll_rst_0 -of_objects [ipx::current_core]]
[ipx::get_ports up_qpll_rst_0 -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 0) or \
(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 0)} \
[ipx::get_bus_interfaces up_cm_0 -of_objects [ipx::current_core]]
[ipx::get_bus_interfaces up_cm_0 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 1} \
[ipx::get_bus_interfaces up_es_1 -of_objects [ipx::current_core]]
@ -164,13 +163,13 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_1 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 1} \
[ipx::get_ports tx_*1* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*1* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 1) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 1)} \
[ipx::get_ports cpll_ref_clk_1 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_1 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_1 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 2} \
[ipx::get_bus_interfaces up_es_2 -of_objects [ipx::current_core]]
@ -191,13 +190,13 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_2 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 2} \
[ipx::get_ports tx_*2* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*2* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 2) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 2)} \
[ipx::get_ports cpll_ref_clk_2 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_2 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_2 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 3} \
[ipx::get_bus_interfaces up_es_3 -of_objects [ipx::current_core]]
@ -218,13 +217,13 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_3 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 3} \
[ipx::get_ports tx_*3* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*3* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 3) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 3)} \
[ipx::get_ports cpll_ref_clk_3 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_3 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_3 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 4} \
[ipx::get_bus_interfaces up_es_4 -of_objects [ipx::current_core]]
@ -245,24 +244,24 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_4 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 4} \
[ipx::get_ports tx_*4* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*4* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 4) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 4)} \
[ipx::get_ports cpll_ref_clk_4 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_4 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_4 -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 4) or \
(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 4)} \
[ipx::get_ports qpll_ref_clk_4 -of_objects [ipx::current_core]] \
[ipx::get_ports up_qpll_rst_4 -of_objects [ipx::current_core]]
[ipx::get_ports up_qpll_rst_4 -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 4) or \
(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 4)} \
[ipx::get_bus_interfaces up_cm_4 -of_objects [ipx::current_core]]
[ipx::get_bus_interfaces up_cm_4 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 5} \
[ipx::get_bus_interfaces up_es_5 -of_objects [ipx::current_core]]
@ -283,13 +282,13 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_5 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 5} \
[ipx::get_ports tx_*5* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*5* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 5) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 5)} \
[ipx::get_ports cpll_ref_clk_5 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_5 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_5 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 6} \
[ipx::get_bus_interfaces up_es_6 -of_objects [ipx::current_core]]
@ -310,13 +309,13 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_6 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 6} \
[ipx::get_ports tx_*6* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*6* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 6) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 6)} \
[ipx::get_ports cpll_ref_clk_6 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_6 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_6 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 7} \
[ipx::get_bus_interfaces up_es_7 -of_objects [ipx::current_core]]
@ -337,13 +336,13 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_7 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 7} \
[ipx::get_ports tx_*7* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*7* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 7) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 7)} \
[ipx::get_ports cpll_ref_clk_7 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_7 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_7 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 8} \
[ipx::get_bus_interfaces up_es_8 -of_objects [ipx::current_core]]
@ -364,24 +363,24 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_8 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 8} \
[ipx::get_ports tx_*8* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*8* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 8) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 8)} \
[ipx::get_ports cpll_ref_clk_8 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_8 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_8 -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 8) or \
(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 8)} \
[ipx::get_ports qpll_ref_clk_8 -of_objects [ipx::current_core]] \
[ipx::get_ports up_qpll_rst_8 -of_objects [ipx::current_core]]
[ipx::get_ports up_qpll_rst_8 -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 8) or \
(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 8)} \
[ipx::get_bus_interfaces up_cm_8 -of_objects [ipx::current_core]]
[ipx::get_bus_interfaces up_cm_8 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 9} \
[ipx::get_bus_interfaces up_es_9 -of_objects [ipx::current_core]]
@ -402,13 +401,13 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_9 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 9} \
[ipx::get_ports tx_*9* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*9* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 9) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 9)} \
[ipx::get_ports cpll_ref_clk_9 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_9 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_9 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 10} \
[ipx::get_bus_interfaces up_es_10 -of_objects [ipx::current_core]]
@ -429,13 +428,13 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_10 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 10} \
[ipx::get_ports tx_*10* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*10* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 10) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 10)} \
[ipx::get_ports cpll_ref_clk_10 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_10 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_10 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 11} \
[ipx::get_bus_interfaces up_es_11 -of_objects [ipx::current_core]]
@ -456,13 +455,13 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_11 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 11} \
[ipx::get_ports tx_*11* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*11* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 11) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 11)} \
[ipx::get_ports cpll_ref_clk_11 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_11 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_11 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 12} \
[ipx::get_bus_interfaces up_es_12 -of_objects [ipx::current_core]]
@ -483,24 +482,24 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_12 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 12} \
[ipx::get_ports tx_*12* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*12* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 12) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 12)} \
[ipx::get_ports cpll_ref_clk_12 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_12 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_12 -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 12) or \
(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 12)} \
[ipx::get_ports qpll_ref_clk_12 -of_objects [ipx::current_core]] \
[ipx::get_ports up_qpll_rst_12 -of_objects [ipx::current_core]]
[ipx::get_ports up_qpll_rst_12 -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 12) or \
(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 12)} \
[ipx::get_bus_interfaces up_cm_12 -of_objects [ipx::current_core]]
[ipx::get_bus_interfaces up_cm_12 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 13} \
[ipx::get_bus_interfaces up_es_13 -of_objects [ipx::current_core]]
@ -521,13 +520,13 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_13 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 13} \
[ipx::get_ports tx_*13* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*13* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 13) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 13)} \
[ipx::get_ports cpll_ref_clk_13 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_13 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_13 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 14} \
[ipx::get_bus_interfaces up_es_14 -of_objects [ipx::current_core]]
@ -548,13 +547,13 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_14 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 14} \
[ipx::get_ports tx_*14* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*14* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 14) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 14)} \
[ipx::get_ports cpll_ref_clk_14 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_14 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_14 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 15} \
[ipx::get_bus_interfaces up_es_15 -of_objects [ipx::current_core]]
@ -575,13 +574,13 @@ set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF
[ipx::get_bus_interfaces tx_15 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 15} \
[ipx::get_ports tx_*15* -of_objects [ipx::current_core]]
[ipx::get_ports tx_*15* -of_objects [ipx::current_core]]
set_property enablement_dependency \
{(spirit:decode(id('MODELPARAM_VALUE.TX_NUM_OF_LANES')) > 15) or \
(spirit:decode(id('MODELPARAM_VALUE.RX_NUM_OF_LANES')) > 15)} \
[ipx::get_ports cpll_ref_clk_15 -of_objects [ipx::current_core]] \
[ipx::get_ports up_cpll_rst_15 -of_objects [ipx::current_core]]
[ipx::get_ports up_cpll_rst_15 -of_objects [ipx::current_core]]
ipx::save_core [ipx::current_core]