diff --git a/projects/common/zc702/zc702_system_constr.xdc b/projects/common/zc702/zc702_system_constr.xdc index af5544c8c..0c34ad65e 100644 --- a/projects/common/zc702/zc702_system_constr.xdc +++ b/projects/common/zc702/zc702_system_constr.xdc @@ -38,17 +38,8 @@ set_property -dict {PACKAGE_PIN G19 IOSTANDARD LVCMOS25} [get_ports gpio_bd[ set_property -dict {PACKAGE_PIN F19 IOSTANDARD LVCMOS25} [get_ports gpio_bd[1]] ; ## GPIO_SW_S set_property -dict {PACKAGE_PIN W6 IOSTANDARD LVCMOS25} [get_ports gpio_bd[2]] ; ## GPIO_DIP_SW0 set_property -dict {PACKAGE_PIN W7 IOSTANDARD LVCMOS25} [get_ports gpio_bd[3]] ; ## GPIO_DIP_SW1 +set_property -dict {PACKAGE_PIN H17 IOSTANDARD LVCMOS25} [get_ports gpio_bd[4]] ; ## XADC_GPIO_0 +set_property -dict {PACKAGE_PIN H22 IOSTANDARD LVCMOS25} [get_ports gpio_bd[5]] ; ## XADC_GPIO_1 +set_property -dict {PACKAGE_PIN G22 IOSTANDARD LVCMOS25} [get_ports gpio_bd[6]] ; ## XADC_GPIO_2 +set_property -dict {PACKAGE_PIN H18 IOSTANDARD LVCMOS25} [get_ports gpio_bd[7]] ; ## XADC_GPIO_3 -set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports gpio_bd[4]] ; ## PMOD2_3_LS -set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports gpio_bd[5]] ; ## PMOD2_2_LS -set_property -dict {PACKAGE_PIN W10 IOSTANDARD LVCMOS25} [get_ports gpio_bd[6]] ; ## PMOD2_1_LS -set_property -dict {PACKAGE_PIN V7 IOSTANDARD LVCMOS25} [get_ports gpio_bd[7]] ; ## PMOD2_0_LS -set_property -dict {PACKAGE_PIN E15 IOSTANDARD LVCMOS25} [get_ports gpio_bd[8]] ; ## PMOD1_0_LS -set_property -dict {PACKAGE_PIN D15 IOSTANDARD LVCMOS25} [get_ports gpio_bd[9]] ; ## PMOD1_1_LS -set_property -dict {PACKAGE_PIN W17 IOSTANDARD LVCMOS25} [get_ports gpio_bd[10]] ; ## PMOD1_2_LS -set_property -dict {PACKAGE_PIN W5 IOSTANDARD LVCMOS25} [get_ports gpio_bd[11]] ; ## PMOD1_3_LS - -set_property -dict {PACKAGE_PIN H17 IOSTANDARD LVCMOS25} [get_ports gpio_bd[12]] ; ## XADC_GPIO_0 -set_property -dict {PACKAGE_PIN H22 IOSTANDARD LVCMOS25} [get_ports gpio_bd[13]] ; ## XADC_GPIO_1 -set_property -dict {PACKAGE_PIN G22 IOSTANDARD LVCMOS25} [get_ports gpio_bd[14]] ; ## XADC_GPIO_2 -set_property -dict {PACKAGE_PIN H18 IOSTANDARD LVCMOS25} [get_ports gpio_bd[15]] ; ## XADC_GPIO_3