diff --git a/projects/fmcjesdadc1/common/fmcjesdadc1_bd.tcl b/projects/fmcjesdadc1/common/fmcjesdadc1_bd.tcl index 3f352a8e9..70e1782be 100644 --- a/projects/fmcjesdadc1/common/fmcjesdadc1_bd.tcl +++ b/projects/fmcjesdadc1/common/fmcjesdadc1_bd.tcl @@ -258,6 +258,6 @@ ad_connect sys_cpu_resetn axi_ad9250_gt/m_axi_aresetn #interrupts -ad_cpu_interrupt ps-13 mb-10 axi_ad9250_0_dma/irq -ad_cpu_interrupt ps-12 mb-11 axi_ad9250_1_dma/irq +ad_cpu_interrupt ps-13 mb-13 axi_ad9250_0_dma/irq +ad_cpu_interrupt ps-12 mb-12 axi_ad9250_1_dma/irq diff --git a/projects/fmcjesdadc1/kc705/system_constr.xdc b/projects/fmcjesdadc1/kc705/system_constr.xdc index 8d133faf1..0d961447a 100644 --- a/projects/fmcjesdadc1/kc705/system_constr.xdc +++ b/projects/fmcjesdadc1/kc705/system_constr.xdc @@ -14,7 +14,7 @@ set_property -dict {PACKAGE_PIN A7 } [get_ports rx_data_n[3]] set_property -dict {PACKAGE_PIN H21 IOSTANDARD LVCMOS25} [get_ports rx_sync] ; ## G36 FMC_HPC_LA33_P set_property -dict {PACKAGE_PIN H22 IOSTANDARD LVCMOS25} [get_ports rx_sysref] ; ## G37 FMC_HPC_LA33_N -set_property -dict {PACKAGE_PIN F22 IOSTANDARD LVCMOS25} [get_ports spi_csn] ; ## G34 FMC_HPC_LA31_N +set_property -dict {PACKAGE_PIN F22 IOSTANDARD LVCMOS25} [get_ports spi_csn_0] ; ## G34 FMC_HPC_LA31_N set_property -dict {PACKAGE_PIN G22 IOSTANDARD LVCMOS25} [get_ports spi_clk] ; ## G33 FMC_HPC_LA31_P set_property -dict {PACKAGE_PIN D21 IOSTANDARD LVCMOS25} [get_ports spi_sdio] ; ## H37 FMC_HPC_LA32_P diff --git a/projects/fmcjesdadc1/kc705/system_project.tcl b/projects/fmcjesdadc1/kc705/system_project.tcl index b3b4f956c..c8bb0ab9c 100644 --- a/projects/fmcjesdadc1/kc705/system_project.tcl +++ b/projects/fmcjesdadc1/kc705/system_project.tcl @@ -1,13 +1,14 @@ source ../../scripts/adi_env.tcl +source $ad_hdl_dir/projects/scripts/adi_board.tcl source $ad_hdl_dir/projects/scripts/adi_project.tcl adi_project_create fmcjesdadc1_kc705 adi_project_files fmcjesdadc1_kc705 [list \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ "../common/fmcjesdadc1_spi.v" \ "system_top.v" \ "system_constr.xdc" \ + "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ] adi_project_run fmcjesdadc1_kc705 diff --git a/projects/fmcjesdadc1/kc705/system_top.v b/projects/fmcjesdadc1/kc705/system_top.v index 34ef6f856..098838d1a 100644 --- a/projects/fmcjesdadc1/kc705/system_top.v +++ b/projects/fmcjesdadc1/kc705/system_top.v @@ -89,21 +89,12 @@ module system_top ( fan_pwm, gpio_lcd, - gpio_led, - gpio_sw, + gpio_bd, iic_rstn, iic_scl, iic_sda, - hdmi_out_clk, - hdmi_hsync, - hdmi_vsync, - hdmi_data_e, - hdmi_data, - - spdif, - rx_ref_clk_p, rx_ref_clk_n, rx_sysref, @@ -111,7 +102,7 @@ module system_top ( rx_data_p, rx_data_n, - spi_csn, + spi_csn_0, spi_clk, spi_sdio); @@ -163,21 +154,12 @@ module system_top ( output fan_pwm; inout [ 6:0] gpio_lcd; - inout [ 7:0] gpio_led; - inout [ 8:0] gpio_sw; + inout [16:0] gpio_bd; output iic_rstn; inout iic_scl; inout iic_sda; - output hdmi_out_clk; - output hdmi_hsync; - output hdmi_vsync; - output hdmi_data_e; - output [15:0] hdmi_data; - - output spdif; - input rx_ref_clk_p; input rx_ref_clk_n; output rx_sysref; @@ -185,7 +167,7 @@ module system_top ( input [ 3:0] rx_data_p; input [ 3:0] rx_data_n; - output spi_csn; + output spi_csn_0; output spi_clk; inout spi_sdio; @@ -198,12 +180,13 @@ module system_top ( // internal signals - wire [14:0] gpio_i; - wire [14:0] gpio_o; - wire [14:0] gpio_t; - wire rx_ref_clk; - wire spi_miso; + wire [63:0] gpio_i; + wire [63:0] gpio_o; + wire [63:0] gpio_t; + wire [ 7:0] spi_csn; wire spi_mosi; + wire spi_miso; + wire rx_ref_clk; wire adc_clk; wire [127:0] rx_gt_data; wire adc_0_enable_a; @@ -214,7 +197,12 @@ module system_top ( wire [31:0] adc_1_data_a; wire adc_1_enable_b; wire [31:0] adc_1_data_b; - wire [31:0] mb_intrs; + + assign ddr3_1_p = 2'b11; + assign ddr3_1_n = 3'b000; + assign fan_pwm = 1'b1; + assign iic_rstn = 1'b1; + assign spi_csn_0 = spi_csn; // pack & unpack here @@ -293,28 +281,22 @@ module system_top ( .O (rx_ref_clk), .ODIV2 ()); - ad_iobuf #(.DATA_WIDTH(15)) i_iobuf ( - .dt (gpio_t), - .di (gpio_o), - .do (gpio_i), + ad_iobuf #(.DATA_WIDTH(17)) i_iobuf ( + .dt (gpio_t[16:0]), + .di (gpio_o[16:0]), + .do (gpio_i[16:0]), .dio (gpio_bd)); - assign spi_adc_clk = spi_clk; - assign spi_clk_clk = spi_clk; - fmcjesdadc1_spi i_fmcjesdadc1_spi ( - .spi_csn (spi_csn), + .spi_csn (spi_csn[0]), .spi_clk (spi_clk), .spi_mosi (spi_mosi), .spi_miso (spi_miso), .spi_sdio (spi_sdio)); - // instantiations system_wrapper i_system_wrapper ( - .ddr3_1_n (ddr3_1_n), - .ddr3_1_p (ddr3_1_p), .ddr3_addr (ddr3_addr), .ddr3_ba (ddr3_ba), .ddr3_cas_n (ddr3_cas_n), @@ -330,10 +312,13 @@ module system_top ( .ddr3_ras_n (ddr3_ras_n), .ddr3_reset_n (ddr3_reset_n), .ddr3_we_n (ddr3_we_n), - .fan_pwm (fan_pwm), + .gpio0_i (gpio_i[31:0]), + .gpio0_o (gpio_o[31:0]), + .gpio0_t (gpio_t[31:0]), + .gpio1_i (gpio_i[63:32]), + .gpio1_o (gpio_o[63:32]), + .gpio1_t (gpio_t[63:32]), .gpio_lcd_tri_io (gpio_lcd), - .gpio_led_tri_io (gpio_led), - .gpio_sw_tri_io (gpio_sw), .adc_0_data_a (adc_0_data_a), .adc_0_data_b (adc_0_data_b), .adc_0_enable_a (adc_0_enable_a), @@ -353,39 +338,15 @@ module system_top ( .dma_1_data (dma_1_data), .dma_1_sync (1'b1), .dma_1_wr (dma_1_wr), - .hdmi_data (hdmi_data), - .hdmi_data_e (hdmi_data_e), - .hdmi_hsync (hdmi_hsync), - .hdmi_out_clk (hdmi_out_clk), - .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .iic_rstn (iic_rstn), - .mb_intr_10 (mb_intrs[10]), - .mb_intr_11 (mb_intrs[11]), - .mb_intr_12 (mb_intrs[12]), - .mb_intr_13 (mb_intrs[13]), - .mb_intr_14 (mb_intrs[14]), - .mb_intr_15 (mb_intrs[15]), - .mb_intr_16 (mb_intrs[16]), - .mb_intr_17 (mb_intrs[17]), - .mb_intr_18 (mb_intrs[18]), - .mb_intr_19 (mb_intrs[19]), - .mb_intr_20 (mb_intrs[20]), - .mb_intr_21 (mb_intrs[21]), - .mb_intr_22 (mb_intrs[22]), - .mb_intr_23 (mb_intrs[23]), - .mb_intr_24 (mb_intrs[24]), - .mb_intr_25 (mb_intrs[25]), - .mb_intr_26 (mb_intrs[26]), - .mb_intr_27 (mb_intrs[27]), - .mb_intr_28 (mb_intrs[28]), - .mb_intr_29 (mb_intrs[29]), - .mb_intr_30 (mb_intrs[30]), - .mb_intr_31 (mb_intrs[31]), - .ad9250_0_dma_intr (mb_intrs[10]), - .ad9250_1_dma_intr (mb_intrs[11]), - .ad9250_spi_intr (mb_intrs[13]), + .mb_intr_02 (1'd0), + .mb_intr_03 (1'd0), + .mb_intr_06 (1'd0), + .mb_intr_07 (1'd0), + .mb_intr_08 (1'd0), + .mb_intr_14 (1'd0), + .mb_intr_15 (1'd0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio_io), .mii_col (mii_col), @@ -404,7 +365,6 @@ module system_top ( .linear_flash_dq_io (linear_flash_dq_io), .linear_flash_oen (linear_flash_oen), .linear_flash_wen (linear_flash_wen), - .spdif (spdif), .sys_clk_n (sys_clk_n), .sys_clk_p (sys_clk_p), .sys_rst (sys_rst), @@ -418,12 +378,12 @@ module system_top ( .rx_ref_clk (rx_ref_clk), .rx_sync (rx_sync), .rx_sysref (rx_sysref), - .spi_clk_i (1'b0), + .spi_clk_i (spi_clk), .spi_clk_o (spi_clk), - .spi_csn_i (1'b1), + .spi_csn_i (spi_csn), .spi_csn_o (spi_csn), .spi_sdi_i (spi_miso), - .spi_sdo_i (1'b0), + .spi_sdo_i (spi_mosi), .spi_sdo_o (spi_mosi)); endmodule