diff --git a/library/axi_ad9625/axi_ad9625.v b/library/axi_ad9625/axi_ad9625.v index a96d077a9..e98a193a3 100644 --- a/library/axi_ad9625/axi_ad9625.v +++ b/library/axi_ad9625/axi_ad9625.v @@ -84,7 +84,6 @@ module axi_ad9625 ( parameter PCORE_ID = 0; parameter PCORE_DEVICE_TYPE = 0; parameter PCORE_IODELAY_GROUP = "adc_if_delay_group"; - parameter C_S_AXI_MIN_SIZE = 32'hffff; // jesd interface // rx_clk is (line-rate/40) @@ -223,21 +222,15 @@ module axi_ad9625 ( .adc_ddr_edgesel (), .adc_pin_mode (), .adc_status (adc_status_s), + .adc_sync_status (1'd0), .adc_status_ovf (adc_dovf), .adc_status_unf (adc_dunf), .adc_clk_ratio (32'd1), + .adc_start_code (), + .adc_sync (), .up_status_pn_err (up_adc_pn_err_s), .up_status_pn_oos (up_adc_pn_oos_s), .up_status_or (up_adc_or_s), - .delay_clk (1'b0), - .delay_rst (), - .delay_sel (), - .delay_rwn (), - .delay_addr (), - .delay_wdata (), - .delay_rdata (5'd0), - .delay_ack_t (1'b0), - .delay_locked (1'b1), .drp_clk (1'd0), .drp_rst (), .drp_sel (), diff --git a/library/axi_ad9625/axi_ad9625_ip.tcl b/library/axi_ad9625/axi_ad9625_ip.tcl index c5c1fbe65..a91c9ee49 100644 --- a/library/axi_ad9625/axi_ad9625_ip.tcl +++ b/library/axi_ad9625/axi_ad9625_ip.tcl @@ -14,7 +14,6 @@ adi_ip_files axi_ad9625 [list \ "$ad_hdl_dir/library/common/up_xfer_status.v" \ "$ad_hdl_dir/library/common/up_clock_mon.v" \ "$ad_hdl_dir/library/common/up_drp_cntrl.v" \ - "$ad_hdl_dir/library/common/up_delay_cntrl.v" \ "$ad_hdl_dir/library/common/up_adc_common.v" \ "$ad_hdl_dir/library/common/up_adc_channel.v" \ "axi_ad9625_pnmon.v" \