From c9a7d4d927b2d89b3fea9c0919564321e7a374ef Mon Sep 17 00:00:00 2001 From: Iulia Moldovan Date: Thu, 6 Jul 2023 15:08:22 +0300 Subject: [PATCH] Add copyright and license to .tcl, .ttcl files Signed-off-by: Iulia Moldovan --- .../ad463x_data_capture_ip.tcl | 4 ++ library/axi_ad5766/axi_ad5766_ip.tcl | 6 ++- library/axi_ad7606x/axi_ad7606x_ip.tcl | 6 ++- library/axi_ad7616/axi_ad7616_ip.tcl | 6 ++- library/axi_ad7768/axi_ad7768_hw.tcl | 4 ++ library/axi_ad7768/axi_ad7768_ip.tcl | 6 ++- library/axi_ad777x/axi_ad777x_hw.tcl | 5 +++ library/axi_ad777x/axi_ad777x_ip.tcl | 6 ++- library/axi_ad9122/axi_ad9122_hw.tcl | 4 ++ library/axi_ad9122/axi_ad9122_ip.tcl | 6 ++- library/axi_ad9250/axi_ad9250_hw.tcl | 5 ++- library/axi_ad9250/axi_ad9250_ip.tcl | 6 ++- library/axi_ad9265/axi_ad9265_ip.tcl | 6 ++- library/axi_ad9361/axi_ad9361_delay.tcl | 6 ++- library/axi_ad9361/axi_ad9361_hw.tcl | 4 ++ library/axi_ad9361/axi_ad9361_ip.tcl | 6 ++- library/axi_ad9434/axi_ad9434_ip.tcl | 6 ++- library/axi_ad9467/axi_ad9467_ip.tcl | 6 ++- library/axi_ad9625/axi_ad9625_ip.tcl | 6 ++- library/axi_ad9671/axi_ad9671_hw.tcl | 5 ++- library/axi_ad9671/axi_ad9671_ip.tcl | 6 ++- library/axi_ad9684/axi_ad9684_hw.tcl | 4 ++ library/axi_ad9684/axi_ad9684_ip.tcl | 4 ++ library/axi_ad9739a/axi_ad9739a_ip.tcl | 6 ++- library/axi_ad9783/axi_ad9783_ip.tcl | 6 ++- library/axi_ad9963/axi_ad9963_ip.tcl | 6 ++- library/axi_adaq8092/axi_adaq8092_ip.tcl | 6 ++- .../axi_adc_decimate/axi_adc_decimate_ip.tcl | 6 ++- .../axi_adc_trigger/axi_adc_trigger_ip.tcl | 6 ++- library/axi_adrv9001/axi_adrv9001_hw.tcl | 5 +++ library/axi_adrv9001/axi_adrv9001_ip.tcl | 6 ++- library/axi_clkgen/axi_clkgen_ip.tcl | 6 ++- library/axi_clkgen/bd/bd.tcl | 38 ++--------------- .../axi_clock_monitor_hw.tcl | 5 ++- .../axi_clock_monitor_ip.tcl | 6 ++- .../axi_dac_interpolate_ip.tcl | 6 ++- library/axi_dmac/axi_dmac_constr.ttcl | 5 +++ library/axi_dmac/axi_dmac_hw.tcl | 5 ++- library/axi_dmac/axi_dmac_ip.tcl | 6 ++- library/axi_dmac/axi_dmac_pkg_sv.ttcl | 5 +++ library/axi_dmac/bd/bd.tcl | 4 ++ .../axi_fan_control/axi_fan_control_ip.tcl | 6 ++- .../axi_fmcadc5_sync/axi_fmcadc5_sync_ip.tcl | 6 ++- .../axi_generic_adc/axi_generic_adc_ip.tcl | 6 ++- library/axi_gpreg/axi_gpreg_constr.ttcl | 5 +++ library/axi_gpreg/axi_gpreg_ip.tcl | 6 ++- library/axi_hdmi_rx/axi_hdmi_rx_ip.tcl | 6 ++- library/axi_hdmi_tx/axi_hdmi_tx_hw.tcl | 5 ++- library/axi_hdmi_tx/axi_hdmi_tx_ip.tcl | 6 ++- library/axi_i2s_adi/axi_i2s_adi_ip.tcl | 6 ++- .../axi_intr_monitor/axi_intr_monitor_ip.tcl | 6 ++- .../axi_laser_driver/axi_laser_driver_hw.tcl | 4 ++ .../axi_laser_driver/axi_laser_driver_ip.tcl | 6 ++- .../axi_logic_analyzer_ip.tcl | 6 ++- library/axi_ltc2387/axi_ltc2387_ip.tcl | 5 +++ .../axi_pulse_gen/axi_pulse_gen_constr.ttcl | 5 +++ library/axi_pulse_gen/axi_pulse_gen_ip.tcl | 6 ++- library/axi_pwm_gen/axi_pwm_gen_constr.ttcl | 5 +++ library/axi_pwm_gen/axi_pwm_gen_hw.tcl | 6 ++- library/axi_pwm_gen/axi_pwm_gen_ip.tcl | 6 ++- .../axi_rd_wr_combiner_ip.tcl | 6 ++- library/axi_spdif_rx/axi_spdif_rx_ip.tcl | 6 ++- library/axi_spdif_tx/axi_spdif_tx_ip.tcl | 6 ++- library/axi_sysid/axi_sysid_hw.tcl | 4 ++ library/axi_sysid/axi_sysid_ip.tcl | 6 ++- library/axi_tdd/axi_tdd_constr.ttcl | 5 +++ library/axi_tdd/axi_tdd_hw.tcl | 4 ++ library/axi_tdd/axi_tdd_ip.tcl | 6 ++- library/axi_tdd/scripts/axi_tdd.tcl | 4 ++ .../cn0363_dma_sequencer_ip.tcl | 4 ++ .../cn0363_phase_data_sync_ip.tcl | 4 ++ library/common/ad_pps_receiver_constr.ttcl | 5 +++ library/cordic_demod/cordic_demod_ip.tcl | 4 ++ library/data_offload/data_offload_constr.ttcl | 5 +++ library/data_offload/data_offload_ip.tcl | 5 +++ library/data_offload/data_offload_sv.ttcl | 5 +++ library/intel/avl_adxcfg/avl_adxcfg_hw.tcl | 4 ++ library/intel/avl_adxcvr/avl_adxcvr_hw.tcl | 4 ++ .../avl_adxcvr_octet_swap_hw.tcl | 4 ++ library/intel/avl_adxphy/avl_adxphy_hw.tcl | 4 ++ library/intel/avl_dacfifo/avl_dacfifo_hw.tcl | 4 ++ library/intel/axi_adxcvr/axi_adxcvr_hw.tcl | 4 ++ .../intel_mem_asym/intel_mem_asym_hw.tcl | 4 ++ .../common/intel_serdes/intel_serdes_hw.tcl | 4 ++ library/intel/util_clkdiv/util_clkdiv_hw.tcl | 4 ++ library/interfaces/interfaces_ip.tcl | 6 ++- library/scripts/adi_intel_device_info_enc.tcl | 38 ++--------------- library/scripts/adi_ip_intel.tcl | 4 ++ library/scripts/adi_ip_xilinx.tcl | 6 ++- .../scripts/adi_xilinx_device_info_enc.tcl | 38 ++--------------- .../axi_spi_engine/axi_spi_engine_constr.ttcl | 5 +++ .../axi_spi_engine/axi_spi_engine_hw.tcl | 4 ++ .../axi_spi_engine/axi_spi_engine_ip.tcl | 6 ++- library/spi_engine/scripts/spi_engine.tcl | 6 ++- .../spi_axis_reorder/spi_axis_reorder_ip.tcl | 4 ++ .../spi_engine_execution_constr.ttcl | 5 +++ .../spi_engine_execution_hw.tcl | 4 ++ .../spi_engine_execution_ip.tcl | 4 ++ .../spi_engine_interconnect_hw.tcl | 4 ++ .../spi_engine_interconnect_ip.tcl | 4 ++ .../spi_engine_offload_constr.ttcl | 5 +++ .../spi_engine_offload_hw.tcl | 4 ++ .../spi_engine_offload_ip.tcl | 5 +++ library/sysid_rom/sysid_rom_hw.tcl | 4 ++ library/sysid_rom/sysid_rom_ip.tcl | 6 ++- library/util_adcfifo/util_adcfifo_hw.tcl | 4 ++ library/util_adcfifo/util_adcfifo_ip.tcl | 6 ++- library/util_axis_fifo/util_axis_fifo_ip.tcl | 4 ++ .../util_axis_fifo_asym_ip.tcl | 4 ++ .../util_axis_resize/util_axis_resize_ip.tcl | 4 ++ .../util_axis_upscale_ip.tcl | 4 ++ library/util_bsplit/util_bsplit_hw.tcl | 5 ++- library/util_bsplit/util_bsplit_ip.tcl | 6 ++- library/util_cdc/util_cdc_constr.tcl | 26 ++---------- library/util_cdc/util_cdc_ip.tcl | 26 ++---------- library/util_cic/util_cic_ip.tcl | 5 +++ library/util_dacfifo/util_dacfifo_hw.tcl | 4 ++ library/util_dacfifo/util_dacfifo_ip.tcl | 6 ++- library/util_dacfifo/util_dacfifo_ooc.ttcl | 4 ++ .../util_dec256sinc24b_ip.tcl | 4 ++ library/util_delay/util_delay_ip.tcl | 5 +++ library/util_do_ram/util_do_ram_ip.tcl | 5 +++ library/util_do_ram/util_do_ram_ooc.ttcl | 5 +++ library/util_extract/util_extract_ip.tcl | 6 ++- library/util_fir_dec/util_fir_dec_ip.tcl | 5 +++ library/util_fir_int/util_fir_int_ip.tcl | 5 +++ .../util_gmii_to_rgmii_ip.tcl | 6 ++- library/util_hbm/bd/bd.tcl | 5 +++ library/util_hbm/scripts/adi_util_hbm.tcl | 5 +++ library/util_hbm/util_hbm_ip.tcl | 5 +++ library/util_hbm/util_hbm_ooc.ttcl | 5 +++ library/util_i2c_mixer/util_i2c_mixer_ip.tcl | 6 ++- library/util_mfifo/util_mfifo_ip.tcl | 6 ++- .../util_mii_to_rmii/util_mii_to_rmii_ip.tcl | 6 ++- .../util_pack/util_cpack2/util_cpack2_hw.tcl | 26 ++---------- .../util_pack/util_cpack2/util_cpack2_ip.tcl | 26 ++---------- .../util_pack/util_upack2/util_upack2_hw.tcl | 26 ++---------- .../util_pack/util_upack2/util_upack2_ip.tcl | 26 ++---------- library/util_pad/util_pad_ip.tcl | 6 ++- library/util_pulse_gen/util_pulse_gen_ip.tcl | 4 ++ library/util_rfifo/util_rfifo_hw.tcl | 5 ++- library/util_rfifo/util_rfifo_ip.tcl | 6 ++- .../util_sigma_delta_spi_ip.tcl | 5 +++ library/util_tdd_sync/util_tdd_sync_ip.tcl | 4 ++ library/util_var_fifo/util_var_fifo_ip.tcl | 6 ++- library/util_wfifo/util_wfifo_hw.tcl | 5 ++- library/util_wfifo/util_wfifo_ip.tcl | 6 ++- library/xilinx/axi_adcfifo/axi_adcfifo_ip.tcl | 6 ++- library/xilinx/axi_adxcvr/axi_adxcvr_ip.tcl | 6 ++- library/xilinx/axi_dacfifo/axi_dacfifo_ip.tcl | 6 ++- library/xilinx/axi_xcvrlb/axi_xcvrlb_ip.tcl | 4 ++ library/xilinx/util_adxcvr/bd/bd.tcl | 4 ++ library/xilinx/util_adxcvr/util_adxcvr_ip.tcl | 6 ++- library/xilinx/util_clkdiv/util_clkdiv_ip.tcl | 5 +++ .../xilinx/util_clkdiv/util_clkdiv_ooc.ttcl | 4 ++ projects/ad40xx_fmc/common/ad40xx_bd.tcl | 4 ++ projects/ad40xx_fmc/zed/system_bd.tcl | 5 +++ projects/ad40xx_fmc/zed/system_project.tcl | 5 +++ projects/ad4110/zed/system_bd.tcl | 5 +++ projects/ad4110/zed/system_project.tcl | 5 +++ projects/ad4134_fmc/common/ad4134_bd.tcl | 5 +++ projects/ad4134_fmc/zed/system_bd.tcl | 5 +++ projects/ad4134_fmc/zed/system_project.tcl | 5 +++ projects/ad4630_fmc/common/ad463x_bd.tcl | 6 ++- projects/ad4630_fmc/zed/system_bd.tcl | 5 +++ projects/ad4630_fmc/zed/system_project.tcl | 5 +++ projects/ad469x_fmc/common/ad469x_bd.tcl | 5 +++ projects/ad469x_fmc/zed/system_bd.tcl | 5 +++ projects/ad469x_fmc/zed/system_project.tcl | 5 +++ projects/ad5758_sdz/zed/system_bd.tcl | 5 +++ projects/ad5758_sdz/zed/system_project.tcl | 5 +++ projects/ad5766_sdz/common/ad5766_bd.tcl | 4 ++ projects/ad5766_sdz/zed/system_bd.tcl | 5 +++ projects/ad5766_sdz/zed/system_project.tcl | 5 +++ projects/ad6676evb/common/ad6676evb_bd.tcl | 7 +++- projects/ad6676evb/vc707/system_bd.tcl | 5 +++ projects/ad6676evb/vc707/system_project.tcl | 5 +++ projects/ad6676evb/zc706/system_bd.tcl | 5 +++ projects/ad6676evb/zc706/system_project.tcl | 5 +++ projects/ad7134_fmc/common/ad7134_bd.tcl | 5 +++ projects/ad7134_fmc/zed/system_bd.tcl | 5 +++ projects/ad7134_fmc/zed/system_project.tcl | 5 +++ projects/ad719x_asdz/coraz7s/system_bd.tcl | 5 +++ .../ad719x_asdz/coraz7s/system_project.tcl | 5 +++ projects/ad738x_fmc/common/ad738x_bd.tcl | 4 ++ projects/ad738x_fmc/zed/system_bd.tcl | 5 +++ projects/ad738x_fmc/zed/system_project.tcl | 5 +++ projects/ad7405_fmc/common/ad7405_bd.tcl | 4 ++ projects/ad7405_fmc/zed/system_bd.tcl | 5 +++ projects/ad7405_fmc/zed/system_project.tcl | 5 +++ projects/ad7606x_fmc/common/ad7606x_bd.tcl | 6 ++- projects/ad7606x_fmc/zed/system_bd.tcl | 4 ++ projects/ad7606x_fmc/zed/system_project.tcl | 4 ++ projects/ad7616_sdz/common/ad7616_bd.tcl | 4 ++ projects/ad7616_sdz/zc706/system_bd.tcl | 5 +++ projects/ad7616_sdz/zc706/system_project.tcl | 5 +++ projects/ad7616_sdz/zed/system_bd.tcl | 5 +++ projects/ad7616_sdz/zed/system_project.tcl | 7 +++- projects/ad77681evb/common/ad77681evb_bd.tcl | 4 ++ projects/ad77681evb/zed/system_bd.tcl | 5 +++ projects/ad77681evb/zed/system_project.tcl | 5 +++ projects/ad7768evb/common/ad7768evb_bd.tcl | 4 ++ projects/ad7768evb/zed/system_bd.tcl | 5 +++ projects/ad7768evb/zed/system_project.tcl | 5 +++ .../ad777x_ardz/common/ad777x_ardz_bd.tcl | 4 ++ .../ad777x_ardz/common/ad777x_ardz_qsys.tcl | 4 ++ .../ad777x_ardz/de10nano/system_project.tcl | 5 +++ projects/ad777x_ardz/de10nano/system_qsys.tcl | 5 +++ projects/ad777x_ardz/zed/system_bd.tcl | 5 +++ projects/ad777x_ardz/zed/system_project.tcl | 5 +++ .../ad9081_fmca_ebz/a10soc/system_project.tcl | 5 +++ .../ad9081_fmca_ebz/a10soc/system_qsys.tcl | 4 ++ .../common/ad9081_fmca_ebz_bd.tcl | 6 ++- .../common/ad9081_fmca_ebz_qsys.tcl | 5 +++ .../common/versal_transceiver.tcl | 5 +++ projects/ad9081_fmca_ebz/vck190/system_bd.tcl | 5 +++ .../ad9081_fmca_ebz/vck190/system_project.tcl | 5 +++ projects/ad9081_fmca_ebz/vcu118/system_bd.tcl | 5 +++ .../ad9081_fmca_ebz/vcu118/system_project.tcl | 5 +++ projects/ad9081_fmca_ebz/vcu128/system_bd.tcl | 5 +++ .../ad9081_fmca_ebz/vcu128/system_project.tcl | 5 +++ projects/ad9081_fmca_ebz/zc706/system_bd.tcl | 5 +++ .../ad9081_fmca_ebz/zc706/system_project.tcl | 5 +++ projects/ad9081_fmca_ebz/zcu102/system_bd.tcl | 5 +++ .../ad9081_fmca_ebz/zcu102/system_project.tcl | 5 +++ .../zcu102/system_bd.tcl | 4 ++ .../zcu102/system_project.tcl | 4 ++ projects/ad9082_fmca_ebz/vck190/system_bd.tcl | 5 +++ .../ad9082_fmca_ebz/vck190/system_project.tcl | 5 +++ projects/ad9082_fmca_ebz/vcu118/system_bd.tcl | 5 +++ .../ad9082_fmca_ebz/vcu118/system_project.tcl | 5 +++ projects/ad9082_fmca_ebz/zc706/system_bd.tcl | 6 ++- .../ad9082_fmca_ebz/zc706/system_project.tcl | 5 +++ projects/ad9082_fmca_ebz/zcu102/system_bd.tcl | 6 ++- .../ad9082_fmca_ebz/zcu102/system_project.tcl | 5 +++ projects/ad9083_evb/a10soc/system_project.tcl | 5 +++ projects/ad9083_evb/a10soc/system_qsys.tcl | 6 ++- projects/ad9083_evb/common/ad9083_evb_bd.tcl | 4 ++ .../ad9083_evb/common/ad9083_evb_qsys.tcl | 6 ++- projects/ad9083_evb/zcu102/system_bd.tcl | 5 +++ projects/ad9083_evb/zcu102/system_project.tcl | 5 +++ projects/ad9083_vna/common/ad9083_vna_bd.tcl | 4 ++ projects/ad9083_vna/zcu102/system_bd.tcl | 4 ++ projects/ad9083_vna/zcu102/system_project.tcl | 4 ++ .../ad9208_dual_ebz/common/dual_ad9208_bd.tcl | 4 ++ projects/ad9208_dual_ebz/vcu118/system_bd.tcl | 5 +++ .../ad9208_dual_ebz/vcu118/system_project.tcl | 5 +++ projects/ad9209_fmca_ebz/vck190/system_bd.tcl | 5 +++ .../ad9209_fmca_ebz/vck190/system_project.tcl | 5 +++ .../common/ad9213_dual_qsys.tcl | 4 ++ .../ad9213_dual_ebz/s10soc/system_project.tcl | 5 +++ .../ad9213_dual_ebz/s10soc/system_qsys.tcl | 4 ++ projects/ad9265_fmc/common/ad9265_bd.tcl | 4 ++ projects/ad9265_fmc/zc706/system_bd.tcl | 5 +++ projects/ad9265_fmc/zc706/system_project.tcl | 5 +++ projects/ad9434_fmc/common/ad9434_bd.tcl | 6 ++- projects/ad9434_fmc/zc706/system_bd.tcl | 5 +++ projects/ad9434_fmc/zc706/system_project.tcl | 5 +++ projects/ad9467_fmc/common/ad9467_bd.tcl | 4 ++ projects/ad9467_fmc/kc705/system_bd.tcl | 5 +++ projects/ad9467_fmc/kc705/system_project.tcl | 5 +++ projects/ad9467_fmc/zed/system_bd.tcl | 5 +++ projects/ad9467_fmc/zed/system_project.tcl | 5 +++ projects/ad9656_fmc/common/ad9656_fmc_bd.tcl | 4 ++ projects/ad9656_fmc/zcu102/system_bd.tcl | 5 +++ projects/ad9656_fmc/zcu102/system_project.tcl | 5 +++ projects/ad9695_fmc/common/ad9695_fmc_bd.tcl | 4 ++ projects/ad9695_fmc/zcu102/system_bd.tcl | 5 +++ projects/ad9695_fmc/zcu102/system_project.tcl | 5 +++ .../ad9739a_fmc/common/ad9739a_fmc_bd.tcl | 4 ++ projects/ad9739a_fmc/zc706/system_bd.tcl | 5 +++ projects/ad9739a_fmc/zc706/system_project.tcl | 5 +++ projects/ad9783_ebz/common/ad9783_ebz_bd.tcl | 4 ++ projects/ad9783_ebz/zcu102/system_bd.tcl | 5 +++ projects/ad9783_ebz/zcu102/system_project.tcl | 5 +++ .../a10soc/system_project.tcl | 5 +++ .../ad_fmclidar1_ebz/a10soc/system_qsys.tcl | 6 ++- .../common/ad_fmclidar1_ebz_bd.tcl | 4 ++ .../common/ad_fmclidar1_ebz_qsys.tcl | 4 ++ projects/ad_fmclidar1_ebz/zc706/system_bd.tcl | 6 ++- .../ad_fmclidar1_ebz/zc706/system_project.tcl | 5 +++ .../ad_fmclidar1_ebz/zcu102/system_bd.tcl | 6 ++- .../zcu102/system_project.tcl | 5 +++ .../common/ad_quadmxfe1_ebz_bd.tcl | 4 ++ .../ad_quadmxfe1_ebz/vcu118/system_bd.tcl | 5 +++ .../vcu118/system_project.tcl | 5 +++ projects/adaq7980_sdz/common/adaq7980_bd.tcl | 4 ++ projects/adaq7980_sdz/zed/system_bd.tcl | 5 +++ projects/adaq7980_sdz/zed/system_project.tcl | 5 +++ projects/adaq8092_fmc/common/adaq8092_bd.tcl | 6 ++- projects/adaq8092_fmc/zed/system_bd.tcl | 5 +++ projects/adaq8092_fmc/zed/system_project.tcl | 5 +++ projects/adrv9001/a10soc/system_project.tcl | 5 +++ projects/adrv9001/a10soc/system_qsys.tcl | 5 +++ projects/adrv9001/common/adrv9001_bd.tcl | 5 +++ projects/adrv9001/common/adrv9001_qsys.tcl | 4 ++ projects/adrv9001/zc706/system_bd.tcl | 5 +++ projects/adrv9001/zc706/system_project.tcl | 5 +++ projects/adrv9001/zcu102/system_bd.tcl | 5 +++ projects/adrv9001/zcu102/system_project.tcl | 5 +++ projects/adrv9001/zed/system_bd.tcl | 5 +++ projects/adrv9001/zed/system_project.tcl | 5 +++ projects/adrv9009/a10soc/system_project.tcl | 5 +++ projects/adrv9009/a10soc/system_qsys.tcl | 6 ++- projects/adrv9009/common/adrv9009_bd.tcl | 8 +++- projects/adrv9009/common/adrv9009_qsys.tcl | 4 ++ projects/adrv9009/s10soc/system_project.tcl | 5 +++ projects/adrv9009/s10soc/system_qsys.tcl | 4 ++ projects/adrv9009/zc706/system_bd.tcl | 6 ++- projects/adrv9009/zc706/system_project.tcl | 5 +++ projects/adrv9009/zcu102/system_bd.tcl | 5 +++ projects/adrv9009/zcu102/system_project.tcl | 5 +++ .../adrv9009zu11eg/adrv2crr_fmc/system_bd.tcl | 5 +++ .../adrv2crr_fmc/system_project.tcl | 5 +++ .../adrv2crr_fmcomms8/system_bd.tcl | 6 ++- .../adrv2crr_fmcomms8/system_project.tcl | 5 +++ .../adrv2crr_fmcxmwbr1/system_bd.tcl | 5 +++ .../adrv2crr_fmcxmwbr1/system_project.tcl | 5 +++ .../adrv2crr_xmicrowave/system_bd.tcl | 5 +++ .../adrv2crr_xmicrowave/system_project.tcl | 5 +++ .../adrv9009zu11eg/common/adrv2crr_fmc_bd.tcl | 4 ++ .../common/adrv9009zu11eg_bd.tcl | 9 +++- .../adrv9361z7035/ccbob_cmos/system_bd.tcl | 5 +++ .../ccbob_cmos/system_project.tcl | 5 +++ .../adrv9361z7035/ccbob_lvds/system_bd.tcl | 5 +++ .../ccbob_lvds/system_project.tcl | 5 +++ .../adrv9361z7035/ccfmc_lvds/system_bd.tcl | 5 +++ .../ccfmc_lvds/system_project.tcl | 5 +++ .../adrv9361z7035/ccpackrf_lvds/system_bd.tcl | 5 +++ .../ccpackrf_lvds/system_project.tcl | 5 +++ .../adrv9361z7035/common/adrv9361z7035_bd.tcl | 4 ++ projects/adrv9361z7035/common/ccbob_bd.tcl | 4 ++ projects/adrv9361z7035/common/ccfmc_bd.tcl | 4 ++ projects/adrv9361z7035/common/ccpackrf_bd.tcl | 4 ++ .../adrv9364z7020/ccbob_cmos/system_bd.tcl | 5 +++ .../ccbob_cmos/system_project.tcl | 5 +++ .../adrv9364z7020/ccbob_lvds/system_bd.tcl | 5 +++ .../ccbob_lvds/system_project.tcl | 5 +++ .../adrv9364z7020/ccpackrf_lvds/system_bd.tcl | 5 +++ .../ccpackrf_lvds/system_project.tcl | 5 +++ .../adrv9364z7020/common/adrv9364z7020_bd.tcl | 4 ++ projects/adrv9364z7020/common/ccbob_bd.tcl | 4 ++ projects/adrv9364z7020/common/ccpackrf_bd.tcl | 4 ++ projects/adrv9371x/a10soc/system_project.tcl | 5 +++ projects/adrv9371x/a10soc/system_qsys.tcl | 4 ++ projects/adrv9371x/common/adrv9371x_bd.tcl | 9 +++- projects/adrv9371x/common/adrv9371x_qsys.tcl | 5 +++ projects/adrv9371x/kcu105/system_bd.tcl | 5 +++ projects/adrv9371x/kcu105/system_project.tcl | 5 +++ projects/adrv9371x/zc706/system_bd.tcl | 6 ++- projects/adrv9371x/zc706/system_project.tcl | 5 +++ projects/adrv9371x/zcu102/system_bd.tcl | 5 +++ projects/adrv9371x/zcu102/system_project.tcl | 5 +++ projects/adv7511/zc702/system_bd.tcl | 5 +++ projects/adv7511/zc702/system_project.tcl | 5 +++ projects/adv7511/zc706/system_bd.tcl | 5 +++ projects/adv7511/zc706/system_project.tcl | 5 +++ projects/adv7511/zed/system_bd.tcl | 5 +++ projects/adv7511/zed/system_project.tcl | 5 +++ projects/adv7513/de10nano/system_project.tcl | 5 +++ projects/adv7513/de10nano/system_qsys.tcl | 5 +++ projects/arradio/c5soc/system_project.tcl | 5 +++ projects/arradio/c5soc/system_qsys.tcl | 5 +++ projects/arradio/common/arradio_qsys.tcl | 4 ++ projects/cn0363/common/cn0363_bd.tcl | 5 +++ projects/cn0363/zed/system_bd.tcl | 5 +++ projects/cn0363/zed/system_project.tcl | 5 +++ projects/cn0501/common/cn0501_bd.tcl | 6 ++- projects/cn0501/coraz7s/system_bd.tcl | 5 +++ projects/cn0501/coraz7s/system_project.tcl | 5 +++ projects/cn0506/a10soc/system_project.tcl | 5 +++ projects/cn0506/a10soc/system_qsys.tcl | 5 +++ projects/cn0506/common/mii_bd.tcl | 4 ++ projects/cn0506/common/rgmii_bd.tcl | 4 ++ projects/cn0506/zc706/system_bd.tcl | 6 ++- projects/cn0506/zc706/system_constr.tcl | 4 ++ projects/cn0506/zc706/system_project.tcl | 5 +++ projects/cn0506/zcu102/system_bd.tcl | 6 ++- projects/cn0506/zcu102/system_constr.tcl | 5 +++ projects/cn0506/zcu102/system_project.tcl | 5 +++ projects/cn0506/zed/system_bd.tcl | 6 ++- projects/cn0506/zed/system_constr.tcl | 4 ++ projects/cn0506/zed/system_project.tcl | 5 +++ projects/cn0540/common/cn0540_bd.tcl | 4 ++ projects/cn0540/common/cn0540_qsys.tcl | 4 ++ projects/cn0540/coraz7s/system_bd.tcl | 5 +++ projects/cn0540/coraz7s/system_project.tcl | 5 +++ projects/cn0540/de10nano/system_project.tcl | 5 +++ projects/cn0540/de10nano/system_qsys.tcl | 5 +++ projects/cn0561/common/cn0561_bd.tcl | 5 +++ projects/cn0561/common/cn0561_qsys.tcl | 6 ++- projects/cn0561/coraz7s/system_bd.tcl | 5 +++ projects/cn0561/coraz7s/system_project.tcl | 5 +++ projects/cn0561/de10nano/system_project.tcl | 5 +++ projects/cn0561/de10nano/system_qsys.tcl | 5 +++ projects/cn0561/zed/system_bd.tcl | 5 +++ projects/cn0561/zed/system_project.tcl | 5 +++ projects/cn0577/common/cn0577_bd.tcl | 6 ++- projects/cn0577/zed/system_bd.tcl | 5 +++ projects/cn0577/zed/system_project.tcl | 5 +++ projects/cn0579/common/cn0579_bd.tcl | 6 ++- projects/cn0579/common/cn0579_qsys.tcl | 6 ++- projects/cn0579/coraz7s/system_bd.tcl | 5 +++ projects/cn0579/coraz7s/system_project.tcl | 5 +++ projects/cn0579/de10nano/system_project.tcl | 5 +++ projects/cn0579/de10nano/system_qsys.tcl | 5 +++ projects/common/a10gx/a10gx_system_assign.tcl | 5 +++ projects/common/a10gx/a10gx_system_qsys.tcl | 6 ++- projects/common/a10gx/system_project.tcl | 5 +++ projects/common/a10gx/system_qsys.tcl | 5 +++ .../common/a10soc/a10soc_plddr4_assign.tcl | 4 ++ .../a10soc/a10soc_plddr4_dacfifo_qsys.tcl | 6 ++- .../common/a10soc/a10soc_system_assign.tcl | 5 +++ projects/common/a10soc/a10soc_system_qsys.tcl | 6 ++- projects/common/a10soc/system_project.tcl | 5 +++ projects/common/a10soc/system_qsys.tcl | 5 +++ projects/common/ac701/ac701_system_bd.tcl | 4 ++ projects/common/ac701/system_bd.tcl | 5 +++ projects/common/ac701/system_project.tcl | 5 +++ projects/common/c5soc/c5soc_system_assign.tcl | 5 +++ projects/common/c5soc/c5soc_system_qsys.tcl | 6 ++- projects/common/c5soc/system_project.tcl | 5 +++ projects/common/c5soc/system_qsys.tcl | 5 +++ projects/common/coraz7s/coraz7s_system_bd.tcl | 4 ++ .../common/coraz7s/coraz7s_system_ps7.tcl | 5 +++ projects/common/coraz7s/system_bd.tcl | 5 +++ projects/common/coraz7s/system_project.tcl | 5 +++ .../de10nano/de10nano_system_assign.tcl | 5 +++ .../common/de10nano/de10nano_system_qsys.tcl | 5 +++ projects/common/de10nano/system_project.tcl | 5 +++ projects/common/de10nano/system_qsys.tcl | 5 +++ projects/common/intel/adcfifo_qsys.tcl | 4 ++ projects/common/intel/dacfifo_qsys.tcl | 4 ++ projects/common/intel/sys_gen.tcl | 4 ++ projects/common/kc705/kc705_system_bd.tcl | 4 ++ projects/common/kc705/system_bd.tcl | 5 +++ projects/common/kc705/system_project.tcl | 5 +++ projects/common/kcu105/kcu105_system_bd.tcl | 4 ++ projects/common/kcu105/kcu105_system_mig.tcl | 4 ++ projects/common/kcu105/system_bd.tcl | 5 +++ projects/common/kcu105/system_project.tcl | 5 +++ projects/common/kv260/kv260_system_bd.tcl | 6 ++- projects/common/kv260/system_bd.tcl | 5 +++ projects/common/kv260/system_project.tcl | 5 +++ .../common/microzed/microzed_system_bd.tcl | 4 ++ .../common/microzed/microzed_system_ps7.tcl | 4 ++ .../common/s10soc/s10soc_system_assign.tcl | 6 ++- projects/common/s10soc/s10soc_system_qsys.tcl | 6 ++- projects/common/s10soc/system_project.tcl | 5 +++ projects/common/s10soc/system_qsys.tcl | 5 +++ projects/common/vc707/system_bd.tcl | 5 +++ projects/common/vc707/system_project.tcl | 5 +++ projects/common/vc707/vc707_system_bd.tcl | 4 ++ projects/common/vc709/system_bd.tcl | 5 +++ projects/common/vc709/system_project.tcl | 5 +++ projects/common/vc709/vc709_system_bd.tcl | 4 ++ projects/common/vck190/system_bd.tcl | 5 +++ projects/common/vck190/system_project.tcl | 5 +++ projects/common/vck190/vck190_system_bd.tcl | 5 +++ projects/common/vcu118/system_bd.tcl | 5 +++ projects/common/vcu118/system_project.tcl | 5 +++ .../vcu118/vcu118_plddr4_adcfifo_bd.tcl | 4 ++ .../vcu118/vcu118_plddr4_dacfifo_bd.tcl | 4 ++ projects/common/vcu118/vcu118_system_bd.tcl | 4 ++ projects/common/vcu128/system_bd.tcl | 5 +++ projects/common/vcu128/system_project.tcl | 5 +++ projects/common/vcu128/vcu128_system_bd.tcl | 4 ++ projects/common/vmk180/system_bd.tcl | 5 +++ projects/common/vmk180/system_project.tcl | 5 +++ projects/common/vmk180/vmk180_system_bd.tcl | 4 ++ .../vmk180_es1/vmk180_es1_system_bd.tcl | 6 ++- projects/common/xilinx/adcfifo_bd.tcl | 5 +++ projects/common/xilinx/adi_fir_filter_bd.tcl | 4 ++ projects/common/xilinx/adi_xilinx_ila.tcl | 5 +++ projects/common/xilinx/dacfifo_bd.tcl | 5 +++ projects/common/xilinx/data_offload_bd.tcl | 4 ++ projects/common/zc702/system_bd.tcl | 5 +++ projects/common/zc702/system_project.tcl | 5 +++ projects/common/zc702/zc702_system_bd.tcl | 4 ++ projects/common/zc706/system_bd.tcl | 5 +++ projects/common/zc706/system_project.tcl | 5 +++ .../common/zc706/zc706_plddr3_adcfifo_bd.tcl | 5 +++ .../common/zc706/zc706_plddr3_dacfifo_bd.tcl | 5 +++ projects/common/zc706/zc706_system_bd.tcl | 5 +++ projects/common/zcu102/system_bd.tcl | 5 +++ projects/common/zcu102/system_project.tcl | 5 +++ projects/common/zcu102/zcu102_system_bd.tcl | 4 ++ projects/common/zed/system_bd.tcl | 5 +++ projects/common/zed/system_project.tcl | 5 +++ projects/common/zed/zed_system_bd.tcl | 4 ++ .../dac_fmc_ebz/a10soc/system_project.tcl | 36 +++------------- projects/dac_fmc_ebz/a10soc/system_qsys.tcl | 35 ++-------------- projects/dac_fmc_ebz/common/config.tcl | 5 +++ .../dac_fmc_ebz/common/dac_fmc_ebz_bd.tcl | 38 ++--------------- .../dac_fmc_ebz/common/dac_fmc_ebz_qsys.tcl | 42 ++++--------------- projects/dac_fmc_ebz/vcu118/system_bd.tcl | 6 ++- .../dac_fmc_ebz/vcu118/system_project.tcl | 5 +++ projects/dac_fmc_ebz/zc706/system_bd.tcl | 38 ++--------------- projects/dac_fmc_ebz/zc706/system_project.tcl | 39 +++-------------- projects/dac_fmc_ebz/zcu102/system_bd.tcl | 6 ++- .../dac_fmc_ebz/zcu102/system_project.tcl | 5 +++ projects/daq2/a10soc/system_project.tcl | 5 +++ projects/daq2/a10soc/system_qsys.tcl | 6 ++- projects/daq2/common/daq2_bd.tcl | 5 +++ projects/daq2/common/daq2_qsys.tcl | 4 ++ projects/daq2/kc705/system_bd.tcl | 5 +++ projects/daq2/kc705/system_project.tcl | 5 +++ projects/daq2/kcu105/system_bd.tcl | 5 +++ projects/daq2/kcu105/system_project.tcl | 5 +++ projects/daq2/zc706/system_bd.tcl | 5 +++ projects/daq2/zc706/system_project.tcl | 5 +++ projects/daq2/zcu102/system_bd.tcl | 5 +++ projects/daq2/zcu102/system_project.tcl | 5 +++ projects/daq3/common/daq3_bd.tcl | 5 +++ projects/daq3/kcu105/system_bd.tcl | 5 +++ projects/daq3/kcu105/system_project.tcl | 5 +++ projects/daq3/vcu118/system_bd.tcl | 5 +++ projects/daq3/vcu118/system_project.tcl | 5 +++ projects/daq3/zc706/system_bd.tcl | 5 +++ projects/daq3/zc706/system_project.tcl | 5 +++ projects/daq3/zcu102/system_bd.tcl | 5 +++ projects/daq3/zcu102/system_project.tcl | 5 +++ projects/fmcadc2/common/fmcadc2_bd.tcl | 5 +++ projects/fmcadc2/vc707/system_bd.tcl | 5 +++ projects/fmcadc2/vc707/system_project.tcl | 5 +++ projects/fmcadc2/zc706/system_bd.tcl | 6 ++- projects/fmcadc2/zc706/system_project.tcl | 5 +++ projects/fmcadc5/common/fmcadc5_bd.tcl | 5 ++- projects/fmcadc5/vc707/system_bd.tcl | 5 +++ projects/fmcadc5/vc707/system_project.tcl | 5 +++ .../fmcjesdadc1/common/fmcjesdadc1_bd.tcl | 5 +++ projects/fmcjesdadc1/kc705/system_bd.tcl | 5 +++ projects/fmcjesdadc1/kc705/system_project.tcl | 5 +++ projects/fmcjesdadc1/vc707/system_bd.tcl | 5 +++ projects/fmcjesdadc1/vc707/system_project.tcl | 5 +++ projects/fmcjesdadc1/zc706/system_bd.tcl | 5 +++ projects/fmcjesdadc1/zc706/system_project.tcl | 5 +++ projects/fmcomms11/common/fmcomms11_bd.tcl | 4 ++ projects/fmcomms11/zc706/system_bd.tcl | 5 +++ projects/fmcomms11/zc706/system_project.tcl | 5 +++ projects/fmcomms2/common/fmcomms2_bd.tcl | 4 ++ projects/fmcomms2/common/fmcomms2_qsys.tcl | 4 ++ projects/fmcomms2/kc705/system_bd.tcl | 5 +++ projects/fmcomms2/kc705/system_project.tcl | 5 +++ projects/fmcomms2/kcu105/system_bd.tcl | 5 +++ projects/fmcomms2/kcu105/system_project.tcl | 5 +++ projects/fmcomms2/vc707/system_bd.tcl | 5 +++ projects/fmcomms2/vc707/system_project.tcl | 5 +++ projects/fmcomms2/zc702/system_bd.tcl | 5 +++ projects/fmcomms2/zc702/system_project.tcl | 5 +++ projects/fmcomms2/zc706/system_bd.tcl | 5 +++ projects/fmcomms2/zc706/system_project.tcl | 5 +++ projects/fmcomms2/zcu102/system_bd.tcl | 5 +++ projects/fmcomms2/zcu102/system_project.tcl | 5 +++ projects/fmcomms2/zed/system_bd.tcl | 5 +++ projects/fmcomms2/zed/system_project.tcl | 5 +++ projects/fmcomms5/common/fmcomms5_bd.tcl | 4 ++ projects/fmcomms5/zc702/system_bd.tcl | 5 +++ projects/fmcomms5/zc702/system_project.tcl | 5 +++ projects/fmcomms5/zc706/system_bd.tcl | 5 +++ projects/fmcomms5/zc706/system_project.tcl | 5 +++ projects/fmcomms5/zcu102/system_bd.tcl | 5 +++ projects/fmcomms5/zcu102/system_project.tcl | 5 +++ projects/fmcomms8/a10soc/system_project.tcl | 5 +++ projects/fmcomms8/a10soc/system_qsys.tcl | 6 ++- projects/fmcomms8/common/fmcomms8_bd.tcl | 4 ++ projects/fmcomms8/common/fmcomms8_qsys.tcl | 4 ++ projects/fmcomms8/zcu102/system_bd.tcl | 5 +++ projects/fmcomms8/zcu102/system_project.tcl | 5 +++ projects/imageon/common/imageon_bd.tcl | 4 ++ projects/imageon/zed/system_bd.tcl | 5 +++ projects/imageon/zed/system_project.tcl | 5 +++ projects/m2k/common/m2k_bd.tcl | 4 ++ projects/m2k/standalone/system_bd.tcl | 6 ++- projects/m2k/standalone/system_project.tcl | 5 +++ projects/pluto/system_bd.tcl | 6 ++- projects/pluto/system_project.tcl | 5 +++ .../common/pulsar_adc_pmdz_bd.tcl | 6 ++- .../pulsar_adc_pmdz/coraz7s/system_bd.tcl | 5 +++ .../coraz7s/system_project.tcl | 5 +++ projects/scripts/adi_board.tcl | 4 ++ projects/scripts/adi_fmc_constr_generator.tcl | 5 +++ projects/scripts/adi_intel_msg.tcl | 4 ++ projects/scripts/adi_make.tcl | 38 ++--------------- projects/scripts/adi_make_boot_bin.tcl | 38 ++--------------- projects/scripts/adi_pd.tcl | 5 +++ projects/scripts/adi_project_intel.tcl | 4 ++ projects/scripts/adi_project_xilinx.tcl | 5 +++ projects/scripts/adi_tquest.tcl | 4 ++ projects/scripts/adi_xilinx_msg.tcl | 4 ++ projects/scripts/gtwizard_generator.tcl | 17 ++++---- projects/sidekiqz2/system_bd.tcl | 6 ++- projects/sidekiqz2/system_project.tcl | 5 +++ projects/usrpe31x/system_bd.tcl | 5 +++ projects/usrpe31x/system_project.tcl | 5 +++ scripts/adi_env.tcl | 6 ++- 596 files changed, 2839 insertions(+), 631 deletions(-) diff --git a/library/ad463x_data_capture/ad463x_data_capture_ip.tcl b/library/ad463x_data_capture/ad463x_data_capture_ip.tcl index 38bb4467f..bfb79e983 100644 --- a/library/ad463x_data_capture/ad463x_data_capture_ip.tcl +++ b/library/ad463x_data_capture/ad463x_data_capture_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad5766/axi_ad5766_ip.tcl b/library/axi_ad5766/axi_ad5766_ip.tcl index a6310ba49..8bc108d1d 100644 --- a/library/axi_ad5766/axi_ad5766_ip.tcl +++ b/library/axi_ad5766/axi_ad5766_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad7606x/axi_ad7606x_ip.tcl b/library/axi_ad7606x/axi_ad7606x_ip.tcl index dbbb7f2c5..741242048 100644 --- a/library/axi_ad7606x/axi_ad7606x_ip.tcl +++ b/library/axi_ad7606x/axi_ad7606x_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad7616/axi_ad7616_ip.tcl b/library/axi_ad7616/axi_ad7616_ip.tcl index ea9450f9d..fdc8e5f4b 100644 --- a/library/axi_ad7616/axi_ad7616_ip.tcl +++ b/library/axi_ad7616/axi_ad7616_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad7768/axi_ad7768_hw.tcl b/library/axi_ad7768/axi_ad7768_hw.tcl index 9cc74d928..c59b405c6 100644 --- a/library/axi_ad7768/axi_ad7768_hw.tcl +++ b/library/axi_ad7768/axi_ad7768_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys source ../../scripts/adi_env.tcl diff --git a/library/axi_ad7768/axi_ad7768_ip.tcl b/library/axi_ad7768/axi_ad7768_ip.tcl index e6f93c3d5..ddc8525c4 100644 --- a/library/axi_ad7768/axi_ad7768_ip.tcl +++ b/library/axi_ad7768/axi_ad7768_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad777x/axi_ad777x_hw.tcl b/library/axi_ad777x/axi_ad777x_hw.tcl index 811f6636f..2328f1411 100644 --- a/library/axi_ad777x/axi_ad777x_hw.tcl +++ b/library/axi_ad777x/axi_ad777x_hw.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + package require qsys source ../../scripts/adi_env.tcl source ../scripts/adi_ip_intel.tcl diff --git a/library/axi_ad777x/axi_ad777x_ip.tcl b/library/axi_ad777x/axi_ad777x_ip.tcl index a9a8e6a44..0cbb79a65 100644 --- a/library/axi_ad777x/axi_ad777x_ip.tcl +++ b/library/axi_ad777x/axi_ad777x_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad9122/axi_ad9122_hw.tcl b/library/axi_ad9122/axi_ad9122_hw.tcl index 9f39871ca..d222feaa2 100644 --- a/library/axi_ad9122/axi_ad9122_hw.tcl +++ b/library/axi_ad9122/axi_ad9122_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 package require quartus::device diff --git a/library/axi_ad9122/axi_ad9122_ip.tcl b/library/axi_ad9122/axi_ad9122_ip.tcl index d23ac4f7a..66441036f 100644 --- a/library/axi_ad9122/axi_ad9122_ip.tcl +++ b/library/axi_ad9122/axi_ad9122_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad9250/axi_ad9250_hw.tcl b/library/axi_ad9250/axi_ad9250_hw.tcl index aea974cad..de87265ac 100644 --- a/library/axi_ad9250/axi_ad9250_hw.tcl +++ b/library/axi_ad9250/axi_ad9250_hw.tcl @@ -1,4 +1,7 @@ - +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 package require quartus::device diff --git a/library/axi_ad9250/axi_ad9250_ip.tcl b/library/axi_ad9250/axi_ad9250_ip.tcl index 9ccea9ab8..b525b6581 100644 --- a/library/axi_ad9250/axi_ad9250_ip.tcl +++ b/library/axi_ad9250/axi_ad9250_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad9265/axi_ad9265_ip.tcl b/library/axi_ad9265/axi_ad9265_ip.tcl index 1f316919d..a8f05be83 100644 --- a/library/axi_ad9265/axi_ad9265_ip.tcl +++ b/library/axi_ad9265/axi_ad9265_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad9361/axi_ad9361_delay.tcl b/library/axi_ad9361/axi_ad9361_delay.tcl index 74a9d4225..764c2f49f 100644 --- a/library/axi_ad9361/axi_ad9361_delay.tcl +++ b/library/axi_ad9361/axi_ad9361_delay.tcl @@ -1,5 +1,9 @@ -# report delays +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# report delays set m_file [open "axi_ad9361_delay.log" w] set m_ios [get_ports -filter {NAME =~ rx_*_in*}] set m_ddr_ios [get_pins -hierarchical -filter {NAME =~ *i_rx_data_iddr/C || NAME =~ *i_rx_data_iddr/D}] diff --git a/library/axi_ad9361/axi_ad9361_hw.tcl b/library/axi_ad9361/axi_ad9361_hw.tcl index 5b9900a0b..aa0af56c8 100644 --- a/library/axi_ad9361/axi_ad9361_hw.tcl +++ b/library/axi_ad9361/axi_ad9361_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 package require quartus::device diff --git a/library/axi_ad9361/axi_ad9361_ip.tcl b/library/axi_ad9361/axi_ad9361_ip.tcl index 7d0711588..1278cf016 100644 --- a/library/axi_ad9361/axi_ad9361_ip.tcl +++ b/library/axi_ad9361/axi_ad9361_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad9434/axi_ad9434_ip.tcl b/library/axi_ad9434/axi_ad9434_ip.tcl index d84c03bd2..1fa37ee25 100644 --- a/library/axi_ad9434/axi_ad9434_ip.tcl +++ b/library/axi_ad9434/axi_ad9434_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad9467/axi_ad9467_ip.tcl b/library/axi_ad9467/axi_ad9467_ip.tcl index 56a49c0b8..4e87a9eb4 100644 --- a/library/axi_ad9467/axi_ad9467_ip.tcl +++ b/library/axi_ad9467/axi_ad9467_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad9625/axi_ad9625_ip.tcl b/library/axi_ad9625/axi_ad9625_ip.tcl index 10ff85096..f8489ece3 100644 --- a/library/axi_ad9625/axi_ad9625_ip.tcl +++ b/library/axi_ad9625/axi_ad9625_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad9671/axi_ad9671_hw.tcl b/library/axi_ad9671/axi_ad9671_hw.tcl index 9e0718129..ce00aed93 100644 --- a/library/axi_ad9671/axi_ad9671_hw.tcl +++ b/library/axi_ad9671/axi_ad9671_hw.tcl @@ -1,4 +1,7 @@ - +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 package require quartus::device diff --git a/library/axi_ad9671/axi_ad9671_ip.tcl b/library/axi_ad9671/axi_ad9671_ip.tcl index fc2f02cbc..470f3035e 100644 --- a/library/axi_ad9671/axi_ad9671_ip.tcl +++ b/library/axi_ad9671/axi_ad9671_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad9684/axi_ad9684_hw.tcl b/library/axi_ad9684/axi_ad9684_hw.tcl index b9be4658b..2717c2f33 100644 --- a/library/axi_ad9684/axi_ad9684_hw.tcl +++ b/library/axi_ad9684/axi_ad9684_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 package require quartus::device diff --git a/library/axi_ad9684/axi_ad9684_ip.tcl b/library/axi_ad9684/axi_ad9684_ip.tcl index 26f0d0ad1..4389c4bf5 100644 --- a/library/axi_ad9684/axi_ad9684_ip.tcl +++ b/library/axi_ad9684/axi_ad9684_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ip diff --git a/library/axi_ad9739a/axi_ad9739a_ip.tcl b/library/axi_ad9739a/axi_ad9739a_ip.tcl index 97f45afe8..61fb51fdf 100644 --- a/library/axi_ad9739a/axi_ad9739a_ip.tcl +++ b/library/axi_ad9739a/axi_ad9739a_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad9783/axi_ad9783_ip.tcl b/library/axi_ad9783/axi_ad9783_ip.tcl index 46c3d8b65..27739ff80 100755 --- a/library/axi_ad9783/axi_ad9783_ip.tcl +++ b/library/axi_ad9783/axi_ad9783_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ad9963/axi_ad9963_ip.tcl b/library/axi_ad9963/axi_ad9963_ip.tcl index 084fdfa41..b4ee16926 100644 --- a/library/axi_ad9963/axi_ad9963_ip.tcl +++ b/library/axi_ad9963/axi_ad9963_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_adaq8092/axi_adaq8092_ip.tcl b/library/axi_adaq8092/axi_adaq8092_ip.tcl index 11d6b6116..bb807f603 100644 --- a/library/axi_adaq8092/axi_adaq8092_ip.tcl +++ b/library/axi_adaq8092/axi_adaq8092_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_adc_decimate/axi_adc_decimate_ip.tcl b/library/axi_adc_decimate/axi_adc_decimate_ip.tcl index 1b6aff4e7..59062a14b 100644 --- a/library/axi_adc_decimate/axi_adc_decimate_ip.tcl +++ b/library/axi_adc_decimate/axi_adc_decimate_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_adc_trigger/axi_adc_trigger_ip.tcl b/library/axi_adc_trigger/axi_adc_trigger_ip.tcl index 9e76f39d3..b8404c2fa 100644 --- a/library/axi_adc_trigger/axi_adc_trigger_ip.tcl +++ b/library/axi_adc_trigger/axi_adc_trigger_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_adrv9001/axi_adrv9001_hw.tcl b/library/axi_adrv9001/axi_adrv9001_hw.tcl index 866a9ab30..f12e76a77 100644 --- a/library/axi_adrv9001/axi_adrv9001_hw.tcl +++ b/library/axi_adrv9001/axi_adrv9001_hw.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + package require qsys 14.0 package require quartus::device diff --git a/library/axi_adrv9001/axi_adrv9001_ip.tcl b/library/axi_adrv9001/axi_adrv9001_ip.tcl index 32fd79fd9..df85553da 100644 --- a/library/axi_adrv9001/axi_adrv9001_ip.tcl +++ b/library/axi_adrv9001/axi_adrv9001_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_clkgen/axi_clkgen_ip.tcl b/library/axi_clkgen/axi_clkgen_ip.tcl index dc2495079..d3f56b99f 100644 --- a/library/axi_clkgen/axi_clkgen_ip.tcl +++ b/library/axi_clkgen/axi_clkgen_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_clkgen/bd/bd.tcl b/library/axi_clkgen/bd/bd.tcl index bb8b8d92d..4fdb2dc48 100644 --- a/library/axi_clkgen/bd/bd.tcl +++ b/library/axi_clkgen/bd/bd.tcl @@ -1,37 +1,7 @@ -## *************************************************************************** -## *************************************************************************** -## Copyright 2014 - 2018 (c) Analog Devices, Inc. All rights reserved. -## -## In this HDL repository, there are many different and unique modules, consisting -## of various HDL (Verilog or VHDL) components. The individual modules are -## developed independently, and may be accompanied by separate and unique license -## terms. -## -## The user should read each of these license terms, and understand the -## freedoms and responsibilities that he or she has by using this source/core. -## -## This core is distributed in the hope that it will be useful, but WITHOUT ANY -## WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -## A PARTICULAR PURPOSE. -## -## Redistribution and use of source or resulting binaries, with or without modification -## of this file, are permitted under one of the following two license terms: -## -## 1. The GNU General Public License version 2 as published by the -## Free Software Foundation, which can be found in the top level directory -## of this repository (LICENSE_GPL2), and also online at: -## -## -## OR -## -## 2. An ADI specific BSD license, which can be found in the top level directory -## of this repository (LICENSE_ADIBSD), and also on-line at: -## https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -## This will allow to generate bit files and not release the source code, -## as long as it attaches to an ADI device. -## -## *************************************************************************** -## *************************************************************************** +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### proc init {cellpath otherInfo} { set ip [get_bd_cells $cellpath] diff --git a/library/axi_clock_monitor/axi_clock_monitor_hw.tcl b/library/axi_clock_monitor/axi_clock_monitor_hw.tcl index 99d9a6a20..ebd5bfdd2 100755 --- a/library/axi_clock_monitor/axi_clock_monitor_hw.tcl +++ b/library/axi_clock_monitor/axi_clock_monitor_hw.tcl @@ -1,4 +1,7 @@ - +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys diff --git a/library/axi_clock_monitor/axi_clock_monitor_ip.tcl b/library/axi_clock_monitor/axi_clock_monitor_ip.tcl index 3a46a0229..8b8ab9908 100755 --- a/library/axi_clock_monitor/axi_clock_monitor_ip.tcl +++ b/library/axi_clock_monitor/axi_clock_monitor_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_dac_interpolate/axi_dac_interpolate_ip.tcl b/library/axi_dac_interpolate/axi_dac_interpolate_ip.tcl index e5347e6b7..08af40eb0 100644 --- a/library/axi_dac_interpolate/axi_dac_interpolate_ip.tcl +++ b/library/axi_dac_interpolate/axi_dac_interpolate_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_dmac/axi_dmac_constr.ttcl b/library/axi_dmac/axi_dmac_constr.ttcl index a0c03bbd1..3af06ea2e 100644 --- a/library/axi_dmac/axi_dmac_constr.ttcl +++ b/library/axi_dmac/axi_dmac_constr.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + <: set ComponentName [getComponentNameString] :> <: setOutputDirectory "./" :> <: setFileName [ttcl_add $ComponentName "_constr"] :> diff --git a/library/axi_dmac/axi_dmac_hw.tcl b/library/axi_dmac/axi_dmac_hw.tcl index ec3e534e2..56b509cee 100644 --- a/library/axi_dmac/axi_dmac_hw.tcl +++ b/library/axi_dmac/axi_dmac_hw.tcl @@ -1,4 +1,7 @@ - +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../scripts/adi_env.tcl diff --git a/library/axi_dmac/axi_dmac_ip.tcl b/library/axi_dmac/axi_dmac_ip.tcl index e55550d18..115ad3b72 100644 --- a/library/axi_dmac/axi_dmac_ip.tcl +++ b/library/axi_dmac/axi_dmac_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_dmac/axi_dmac_pkg_sv.ttcl b/library/axi_dmac/axi_dmac_pkg_sv.ttcl index 30572ad0e..42eaff5e2 100644 --- a/library/axi_dmac/axi_dmac_pkg_sv.ttcl +++ b/library/axi_dmac/axi_dmac_pkg_sv.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +# SPDX short identifier: ADIBSD +############################################################################### + <: :> <: set ComponentName [getComponentNameString] :> <: setOutputDirectory "./sim/" :> diff --git a/library/axi_dmac/bd/bd.tcl b/library/axi_dmac/bd/bd.tcl index 6e8d987f6..52f15798a 100644 --- a/library/axi_dmac/bd/bd.tcl +++ b/library/axi_dmac/bd/bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### proc init {cellpath otherInfo} { set ip [get_bd_cells $cellpath] diff --git a/library/axi_fan_control/axi_fan_control_ip.tcl b/library/axi_fan_control/axi_fan_control_ip.tcl index c9b45270e..2cd525154 100644 --- a/library/axi_fan_control/axi_fan_control_ip.tcl +++ b/library/axi_fan_control/axi_fan_control_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_fmcadc5_sync/axi_fmcadc5_sync_ip.tcl b/library/axi_fmcadc5_sync/axi_fmcadc5_sync_ip.tcl index 3d8079ee1..11ba4c2bc 100644 --- a/library/axi_fmcadc5_sync/axi_fmcadc5_sync_ip.tcl +++ b/library/axi_fmcadc5_sync/axi_fmcadc5_sync_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_generic_adc/axi_generic_adc_ip.tcl b/library/axi_generic_adc/axi_generic_adc_ip.tcl index 75aadd6bd..3e56643bc 100644 --- a/library/axi_generic_adc/axi_generic_adc_ip.tcl +++ b/library/axi_generic_adc/axi_generic_adc_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_gpreg/axi_gpreg_constr.ttcl b/library/axi_gpreg/axi_gpreg_constr.ttcl index 47df5d348..34a545c68 100644 --- a/library/axi_gpreg/axi_gpreg_constr.ttcl +++ b/library/axi_gpreg/axi_gpreg_constr.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + <: set ComponentName [getComponentNameString] :> <: setOutputDirectory "./" :> <: setFileName [ttcl_add $ComponentName "_constr"] :> diff --git a/library/axi_gpreg/axi_gpreg_ip.tcl b/library/axi_gpreg/axi_gpreg_ip.tcl index d821bd7b5..8ca8881ff 100644 --- a/library/axi_gpreg/axi_gpreg_ip.tcl +++ b/library/axi_gpreg/axi_gpreg_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_hdmi_rx/axi_hdmi_rx_ip.tcl b/library/axi_hdmi_rx/axi_hdmi_rx_ip.tcl index e7c2e6100..6fd3a71cc 100644 --- a/library/axi_hdmi_rx/axi_hdmi_rx_ip.tcl +++ b/library/axi_hdmi_rx/axi_hdmi_rx_ip.tcl @@ -1,5 +1,9 @@ -#ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +#ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_hdmi_tx/axi_hdmi_tx_hw.tcl b/library/axi_hdmi_tx/axi_hdmi_tx_hw.tcl index bdaa141be..8cd5e7e89 100644 --- a/library/axi_hdmi_tx/axi_hdmi_tx_hw.tcl +++ b/library/axi_hdmi_tx/axi_hdmi_tx_hw.tcl @@ -1,4 +1,7 @@ - +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 package require quartus::device diff --git a/library/axi_hdmi_tx/axi_hdmi_tx_ip.tcl b/library/axi_hdmi_tx/axi_hdmi_tx_ip.tcl index 33904142e..26345efdb 100644 --- a/library/axi_hdmi_tx/axi_hdmi_tx_ip.tcl +++ b/library/axi_hdmi_tx/axi_hdmi_tx_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_i2s_adi/axi_i2s_adi_ip.tcl b/library/axi_i2s_adi/axi_i2s_adi_ip.tcl index 7374f9b29..1b88ab0df 100644 --- a/library/axi_i2s_adi/axi_i2s_adi_ip.tcl +++ b/library/axi_i2s_adi/axi_i2s_adi_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_intr_monitor/axi_intr_monitor_ip.tcl b/library/axi_intr_monitor/axi_intr_monitor_ip.tcl index 5236f7178..ddeb4b200 100644 --- a/library/axi_intr_monitor/axi_intr_monitor_ip.tcl +++ b/library/axi_intr_monitor/axi_intr_monitor_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_laser_driver/axi_laser_driver_hw.tcl b/library/axi_laser_driver/axi_laser_driver_hw.tcl index 7cdfb6598..41b624f90 100644 --- a/library/axi_laser_driver/axi_laser_driver_hw.tcl +++ b/library/axi_laser_driver/axi_laser_driver_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../scripts/adi_env.tcl diff --git a/library/axi_laser_driver/axi_laser_driver_ip.tcl b/library/axi_laser_driver/axi_laser_driver_ip.tcl index 44aef484b..8c4ba9272 100644 --- a/library/axi_laser_driver/axi_laser_driver_ip.tcl +++ b/library/axi_laser_driver/axi_laser_driver_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_logic_analyzer/axi_logic_analyzer_ip.tcl b/library/axi_logic_analyzer/axi_logic_analyzer_ip.tcl index 3c7f84254..404334e4a 100644 --- a/library/axi_logic_analyzer/axi_logic_analyzer_ip.tcl +++ b/library/axi_logic_analyzer/axi_logic_analyzer_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_ltc2387/axi_ltc2387_ip.tcl b/library/axi_ltc2387/axi_ltc2387_ip.tcl index 893477ef3..8a488e46e 100644 --- a/library/axi_ltc2387/axi_ltc2387_ip.tcl +++ b/library/axi_ltc2387/axi_ltc2387_ip.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_pulse_gen/axi_pulse_gen_constr.ttcl b/library/axi_pulse_gen/axi_pulse_gen_constr.ttcl index 9844b3efe..de4449cbe 100644 --- a/library/axi_pulse_gen/axi_pulse_gen_constr.ttcl +++ b/library/axi_pulse_gen/axi_pulse_gen_constr.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + <: set ComponentName [getComponentNameString] :> <: setOutputDirectory "./" :> <: setFileName [ttcl_add $ComponentName "_constr"] :> diff --git a/library/axi_pulse_gen/axi_pulse_gen_ip.tcl b/library/axi_pulse_gen/axi_pulse_gen_ip.tcl index b81124b3b..900ed1c94 100644 --- a/library/axi_pulse_gen/axi_pulse_gen_ip.tcl +++ b/library/axi_pulse_gen/axi_pulse_gen_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_pwm_gen/axi_pwm_gen_constr.ttcl b/library/axi_pwm_gen/axi_pwm_gen_constr.ttcl index 8173cdb4d..d75347327 100644 --- a/library/axi_pwm_gen/axi_pwm_gen_constr.ttcl +++ b/library/axi_pwm_gen/axi_pwm_gen_constr.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +# SPDX short identifier: ADIBSD +############################################################################### + <: set ComponentName [getComponentNameString] :> <: setOutputDirectory "./" :> <: setFileName [ttcl_add $ComponentName "_constr"] :> diff --git a/library/axi_pwm_gen/axi_pwm_gen_hw.tcl b/library/axi_pwm_gen/axi_pwm_gen_hw.tcl index e58e3a06c..42f60146b 100644 --- a/library/axi_pwm_gen/axi_pwm_gen_hw.tcl +++ b/library/axi_pwm_gen/axi_pwm_gen_hw.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip package require qsys 14.0 package require quartus::device diff --git a/library/axi_pwm_gen/axi_pwm_gen_ip.tcl b/library/axi_pwm_gen/axi_pwm_gen_ip.tcl index 047b34d1b..3a69c1d69 100644 --- a/library/axi_pwm_gen/axi_pwm_gen_ip.tcl +++ b/library/axi_pwm_gen/axi_pwm_gen_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_rd_wr_combiner/axi_rd_wr_combiner_ip.tcl b/library/axi_rd_wr_combiner/axi_rd_wr_combiner_ip.tcl index 9a528ee0b..786a42523 100644 --- a/library/axi_rd_wr_combiner/axi_rd_wr_combiner_ip.tcl +++ b/library/axi_rd_wr_combiner/axi_rd_wr_combiner_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_spdif_rx/axi_spdif_rx_ip.tcl b/library/axi_spdif_rx/axi_spdif_rx_ip.tcl index 0d1dbe256..277f0a147 100644 --- a/library/axi_spdif_rx/axi_spdif_rx_ip.tcl +++ b/library/axi_spdif_rx/axi_spdif_rx_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_spdif_tx/axi_spdif_tx_ip.tcl b/library/axi_spdif_tx/axi_spdif_tx_ip.tcl index 472cdaaa0..f1b55b551 100644 --- a/library/axi_spdif_tx/axi_spdif_tx_ip.tcl +++ b/library/axi_spdif_tx/axi_spdif_tx_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_sysid/axi_sysid_hw.tcl b/library/axi_sysid/axi_sysid_hw.tcl index 6d475ddb0..0a619287c 100644 --- a/library/axi_sysid/axi_sysid_hw.tcl +++ b/library/axi_sysid/axi_sysid_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../scripts/adi_env.tcl diff --git a/library/axi_sysid/axi_sysid_ip.tcl b/library/axi_sysid/axi_sysid_ip.tcl index f323bd3f6..1a214a369 100644 --- a/library/axi_sysid/axi_sysid_ip.tcl +++ b/library/axi_sysid/axi_sysid_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_tdd/axi_tdd_constr.ttcl b/library/axi_tdd/axi_tdd_constr.ttcl index a96c10376..367bff2f1 100644 --- a/library/axi_tdd/axi_tdd_constr.ttcl +++ b/library/axi_tdd/axi_tdd_constr.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + <: set ComponentName [getComponentNameString] :> <: setOutputDirectory "./" :> <: setFileName [ttcl_add $ComponentName "_constr"] :> diff --git a/library/axi_tdd/axi_tdd_hw.tcl b/library/axi_tdd/axi_tdd_hw.tcl index e09cdf630..0a2095bc8 100644 --- a/library/axi_tdd/axi_tdd_hw.tcl +++ b/library/axi_tdd/axi_tdd_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../scripts/adi_env.tcl diff --git a/library/axi_tdd/axi_tdd_ip.tcl b/library/axi_tdd/axi_tdd_ip.tcl index d6e0ede1b..ba53baa38 100644 --- a/library/axi_tdd/axi_tdd_ip.tcl +++ b/library/axi_tdd/axi_tdd_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/axi_tdd/scripts/axi_tdd.tcl b/library/axi_tdd/scripts/axi_tdd.tcl index 43493d59d..f633267cf 100644 --- a/library/axi_tdd/scripts/axi_tdd.tcl +++ b/library/axi_tdd/scripts/axi_tdd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### proc ad_tdd_gen_create {ip_name num_of_channels diff --git a/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer_ip.tcl b/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer_ip.tcl index a82dd8520..3fdd8e4c8 100644 --- a/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer_ip.tcl +++ b/library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/cn0363/cn0363_phase_data_sync/cn0363_phase_data_sync_ip.tcl b/library/cn0363/cn0363_phase_data_sync/cn0363_phase_data_sync_ip.tcl index d90d76a5e..8fc92f489 100644 --- a/library/cn0363/cn0363_phase_data_sync/cn0363_phase_data_sync_ip.tcl +++ b/library/cn0363/cn0363_phase_data_sync/cn0363_phase_data_sync_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/common/ad_pps_receiver_constr.ttcl b/library/common/ad_pps_receiver_constr.ttcl index 77634a72e..0923c5595 100644 --- a/library/common/ad_pps_receiver_constr.ttcl +++ b/library/common/ad_pps_receiver_constr.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +# SPDX short identifier: ADIBSD +############################################################################### + <: set ComponentName [getComponentNameString] :> <: setOutputDirectory "./" :> <: setFileName [ttcl_add $ComponentName "_pps_constr"] :> diff --git a/library/cordic_demod/cordic_demod_ip.tcl b/library/cordic_demod/cordic_demod_ip.tcl index 262e5b62a..552bf9c42 100644 --- a/library/cordic_demod/cordic_demod_ip.tcl +++ b/library/cordic_demod/cordic_demod_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/data_offload/data_offload_constr.ttcl b/library/data_offload/data_offload_constr.ttcl index a0f083c40..23790f23e 100644 --- a/library/data_offload/data_offload_constr.ttcl +++ b/library/data_offload/data_offload_constr.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + <: set ComponentName [getComponentNameString] :> <: setOutputDirectory "./" :> <: setFileName [ttcl_add $ComponentName "_constr"] :> diff --git a/library/data_offload/data_offload_ip.tcl b/library/data_offload/data_offload_ip.tcl index f2da9b39b..c1373c06c 100644 --- a/library/data_offload/data_offload_ip.tcl +++ b/library/data_offload/data_offload_ip.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/data_offload/data_offload_sv.ttcl b/library/data_offload/data_offload_sv.ttcl index a00bd8a66..6c502c216 100644 --- a/library/data_offload/data_offload_sv.ttcl +++ b/library/data_offload/data_offload_sv.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +# SPDX short identifier: ADIBSD +############################################################################### + <: :> <: set ComponentName [getComponentNameString] :> <: setOutputDirectory "./sim/" :> diff --git a/library/intel/avl_adxcfg/avl_adxcfg_hw.tcl b/library/intel/avl_adxcfg/avl_adxcfg_hw.tcl index b15b99acd..40a0da88d 100644 --- a/library/intel/avl_adxcfg/avl_adxcfg_hw.tcl +++ b/library/intel/avl_adxcfg/avl_adxcfg_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 diff --git a/library/intel/avl_adxcvr/avl_adxcvr_hw.tcl b/library/intel/avl_adxcvr/avl_adxcvr_hw.tcl index 9f9533964..9b697d784 100644 --- a/library/intel/avl_adxcvr/avl_adxcvr_hw.tcl +++ b/library/intel/avl_adxcvr/avl_adxcvr_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../../scripts/adi_env.tcl diff --git a/library/intel/avl_adxcvr_octet_swap/avl_adxcvr_octet_swap_hw.tcl b/library/intel/avl_adxcvr_octet_swap/avl_adxcvr_octet_swap_hw.tcl index 4111ac831..307ee3f23 100644 --- a/library/intel/avl_adxcvr_octet_swap/avl_adxcvr_octet_swap_hw.tcl +++ b/library/intel/avl_adxcvr_octet_swap/avl_adxcvr_octet_swap_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 diff --git a/library/intel/avl_adxphy/avl_adxphy_hw.tcl b/library/intel/avl_adxphy/avl_adxphy_hw.tcl index f41ca425f..ccd05cabd 100644 --- a/library/intel/avl_adxphy/avl_adxphy_hw.tcl +++ b/library/intel/avl_adxphy/avl_adxphy_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 diff --git a/library/intel/avl_dacfifo/avl_dacfifo_hw.tcl b/library/intel/avl_dacfifo/avl_dacfifo_hw.tcl index 7cc2c9aea..3e80d6318 100644 --- a/library/intel/avl_dacfifo/avl_dacfifo_hw.tcl +++ b/library/intel/avl_dacfifo/avl_dacfifo_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../../scripts/adi_env.tcl diff --git a/library/intel/axi_adxcvr/axi_adxcvr_hw.tcl b/library/intel/axi_adxcvr/axi_adxcvr_hw.tcl index ee496fbc2..64e352a5d 100644 --- a/library/intel/axi_adxcvr/axi_adxcvr_hw.tcl +++ b/library/intel/axi_adxcvr/axi_adxcvr_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 package require quartus::device diff --git a/library/intel/common/intel_mem_asym/intel_mem_asym_hw.tcl b/library/intel/common/intel_mem_asym/intel_mem_asym_hw.tcl index c5b1cea01..b9d270faf 100644 --- a/library/intel/common/intel_mem_asym/intel_mem_asym_hw.tcl +++ b/library/intel/common/intel_mem_asym/intel_mem_asym_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 diff --git a/library/intel/common/intel_serdes/intel_serdes_hw.tcl b/library/intel/common/intel_serdes/intel_serdes_hw.tcl index 8e5d6b0a7..ab491c14a 100644 --- a/library/intel/common/intel_serdes/intel_serdes_hw.tcl +++ b/library/intel/common/intel_serdes/intel_serdes_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 diff --git a/library/intel/util_clkdiv/util_clkdiv_hw.tcl b/library/intel/util_clkdiv/util_clkdiv_hw.tcl index 9b8d6683b..1db3cf31e 100644 --- a/library/intel/util_clkdiv/util_clkdiv_hw.tcl +++ b/library/intel/util_clkdiv/util_clkdiv_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require -exact qsys 13.0 source ../../../scripts/adi_env.tcl diff --git a/library/interfaces/interfaces_ip.tcl b/library/interfaces/interfaces_ip.tcl index 0548f3ed8..b978d1592 100644 --- a/library/interfaces/interfaces_ip.tcl +++ b/library/interfaces/interfaces_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/scripts/adi_intel_device_info_enc.tcl b/library/scripts/adi_intel_device_info_enc.tcl index 830ff6ea0..04b26ed4d 100644 --- a/library/scripts/adi_intel_device_info_enc.tcl +++ b/library/scripts/adi_intel_device_info_enc.tcl @@ -1,37 +1,7 @@ -## *************************************************************************** -## *************************************************************************** -## Copyright 2014 - 2018 (c) Analog Devices, Inc. All rights reserved. -## -## In this HDL repository, there are many different and unique modules, consisting -## of various HDL (Verilog or VHDL) components. The individual modules are -## developed independently, and may be accompanied by separate and unique license -## terms. -## -## The user should read each of these license terms, and understand the -## freedoms and responsibilities that he or she has by using this source/core. -## -## This core is distributed in the hope that it will be useful, but WITHOUT ANY -## WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -## A PARTICULAR PURPOSE. -## -## Redistribution and use of source or resulting binaries, with or without modification -## of this file, are permitted under one of the following two license terms: -## -## 1. The GNU General Public License version 2 as published by the -## Free Software Foundation, which can be found in the top level directory -## of this repository (LICENSE_GPL2), and also online at: -## -## -## OR -## -## 2. An ADI specific BSD license, which can be found in the top level directory -## of this repository (LICENSE_ADIBSD), and also on-line at: -## https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -## This will allow to generate bit files and not release the source code, -## as long as it attaches to an ADI device. -## -## *************************************************************************** -## *************************************************************************** +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # adi_intel_device_info_enc.tcl diff --git a/library/scripts/adi_ip_intel.tcl b/library/scripts/adi_ip_intel.tcl index 633b0251b..a5f31e117 100644 --- a/library/scripts/adi_ip_intel.tcl +++ b/library/scripts/adi_ip_intel.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### ## Define an interface for Platform Designer. # diff --git a/library/scripts/adi_ip_xilinx.tcl b/library/scripts/adi_ip_xilinx.tcl index 546367664..833708d17 100644 --- a/library/scripts/adi_ip_xilinx.tcl +++ b/library/scripts/adi_ip_xilinx.tcl @@ -1,5 +1,9 @@ -source $ad_hdl_dir/library/scripts/adi_xilinx_device_info_enc.tcl +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +source $ad_hdl_dir/library/scripts/adi_xilinx_device_info_enc.tcl if {[info exists ::env(ADI_VIVADO_IP_LIBRARY)]} { set VIVADO_IP_LIBRARY $::env(ADI_VIVADO_IP_LIBRARY) } else { diff --git a/library/scripts/adi_xilinx_device_info_enc.tcl b/library/scripts/adi_xilinx_device_info_enc.tcl index e7596b5d2..4492ba927 100644 --- a/library/scripts/adi_xilinx_device_info_enc.tcl +++ b/library/scripts/adi_xilinx_device_info_enc.tcl @@ -1,37 +1,7 @@ -## *************************************************************************** -## *************************************************************************** -## Copyright 2014 - 2018 (c) Analog Devices, Inc. All rights reserved. -## -## In this HDL repository, there are many different and unique modules, consisting -## of various HDL (Verilog or VHDL) components. The individual modules are -## developed independently, and may be accompanied by separate and unique license -## terms. -## -## The user should read each of these license terms, and understand the -## freedoms and responsibilities that he or she has by using this source/core. -## -## This core is distributed in the hope that it will be useful, but WITHOUT ANY -## WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -## A PARTICULAR PURPOSE. -## -## Redistribution and use of source or resulting binaries, with or without modification -## of this file, are permitted under one of the following two license terms: -## -## 1. The GNU General Public License version 2 as published by the -## Free Software Foundation, which can be found in the top level directory -## of this repository (LICENSE_GPL2), and also online at: -## -## -## OR -## -## 2. An ADI specific BSD license, which can be found in the top level directory -## of this repository (LICENSE_ADIBSD), and also on-line at: -## https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -## This will allow to generate bit files and not release the source code, -## as long as it attaches to an ADI device. -## -## *************************************************************************** -## *************************************************************************** +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # adi_xilinx_device_info_enc.tcl diff --git a/library/spi_engine/axi_spi_engine/axi_spi_engine_constr.ttcl b/library/spi_engine/axi_spi_engine/axi_spi_engine_constr.ttcl index 55bc9dafc..317032346 100644 --- a/library/spi_engine/axi_spi_engine/axi_spi_engine_constr.ttcl +++ b/library/spi_engine/axi_spi_engine/axi_spi_engine_constr.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +# SPDX short identifier: ADIBSD +############################################################################### + <: set ComponentName [getComponentNameString] :> <: setOutputDirectory "./" :> <: setFileName [ttcl_add $ComponentName "_constr"] :> diff --git a/library/spi_engine/axi_spi_engine/axi_spi_engine_hw.tcl b/library/spi_engine/axi_spi_engine/axi_spi_engine_hw.tcl index 51e916caf..329cc3dc8 100644 --- a/library/spi_engine/axi_spi_engine/axi_spi_engine_hw.tcl +++ b/library/spi_engine/axi_spi_engine/axi_spi_engine_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../../scripts/adi_env.tcl diff --git a/library/spi_engine/axi_spi_engine/axi_spi_engine_ip.tcl b/library/spi_engine/axi_spi_engine/axi_spi_engine_ip.tcl index 33bc98633..496a99fcf 100644 --- a/library/spi_engine/axi_spi_engine/axi_spi_engine_ip.tcl +++ b/library/spi_engine/axi_spi_engine/axi_spi_engine_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/spi_engine/scripts/spi_engine.tcl b/library/spi_engine/scripts/spi_engine.tcl index 9ff944144..f59a7db1a 100644 --- a/library/spi_engine/scripts/spi_engine.tcl +++ b/library/spi_engine/scripts/spi_engine.tcl @@ -1,5 +1,9 @@ -proc spi_engine_create {{name "spi_engine"} {data_width 32} {async_spi_clk 1} {num_cs 1} {num_sdi 1} {num_sdo 1} {sdi_delay 0} {echo_sclk 0}} { +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +proc spi_engine_create {{name "spi_engine"} {data_width 32} {async_spi_clk 1} {num_cs 1} {num_sdi 1} {num_sdo 1} {sdi_delay 0} {echo_sclk 0}} { puts "echo_sclk: $echo_sclk" create_bd_cell -type hier $name diff --git a/library/spi_engine/spi_axis_reorder/spi_axis_reorder_ip.tcl b/library/spi_engine/spi_axis_reorder/spi_axis_reorder_ip.tcl index fe4a4edaf..9aa8c7bb6 100644 --- a/library/spi_engine/spi_axis_reorder/spi_axis_reorder_ip.tcl +++ b/library/spi_engine/spi_axis_reorder/spi_axis_reorder_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/spi_engine/spi_engine_execution/spi_engine_execution_constr.ttcl b/library/spi_engine/spi_engine_execution/spi_engine_execution_constr.ttcl index 8294cdc55..c9cdf9b59 100644 --- a/library/spi_engine/spi_engine_execution/spi_engine_execution_constr.ttcl +++ b/library/spi_engine/spi_engine_execution/spi_engine_execution_constr.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + <: set ComponentName [getComponentNameString] :> <: setOutputDirectory "./" :> <: setFileName [ttcl_add $ComponentName "_constr"] :> diff --git a/library/spi_engine/spi_engine_execution/spi_engine_execution_hw.tcl b/library/spi_engine/spi_engine_execution/spi_engine_execution_hw.tcl index 54485b8f8..2dd42d857 100644 --- a/library/spi_engine/spi_engine_execution/spi_engine_execution_hw.tcl +++ b/library/spi_engine/spi_engine_execution/spi_engine_execution_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../../scripts/adi_env.tcl diff --git a/library/spi_engine/spi_engine_execution/spi_engine_execution_ip.tcl b/library/spi_engine/spi_engine_execution/spi_engine_execution_ip.tcl index ce7a6bd3d..61e0dfbfc 100644 --- a/library/spi_engine/spi_engine_execution/spi_engine_execution_ip.tcl +++ b/library/spi_engine/spi_engine_execution/spi_engine_execution_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/spi_engine/spi_engine_interconnect/spi_engine_interconnect_hw.tcl b/library/spi_engine/spi_engine_interconnect/spi_engine_interconnect_hw.tcl index 699ee05ce..0dda130a1 100644 --- a/library/spi_engine/spi_engine_interconnect/spi_engine_interconnect_hw.tcl +++ b/library/spi_engine/spi_engine_interconnect/spi_engine_interconnect_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../../scripts/adi_env.tcl diff --git a/library/spi_engine/spi_engine_interconnect/spi_engine_interconnect_ip.tcl b/library/spi_engine/spi_engine_interconnect/spi_engine_interconnect_ip.tcl index 8023ecb19..49e19b6e3 100644 --- a/library/spi_engine/spi_engine_interconnect/spi_engine_interconnect_ip.tcl +++ b/library/spi_engine/spi_engine_interconnect/spi_engine_interconnect_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/spi_engine/spi_engine_offload/spi_engine_offload_constr.ttcl b/library/spi_engine/spi_engine_offload/spi_engine_offload_constr.ttcl index 340cf26db..60cc78598 100644 --- a/library/spi_engine/spi_engine_offload/spi_engine_offload_constr.ttcl +++ b/library/spi_engine/spi_engine_offload/spi_engine_offload_constr.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + <: set ComponentName [getComponentNameString] :> <: setOutputDirectory "./" :> <: setFileName [ttcl_add $ComponentName "_constr"] :> diff --git a/library/spi_engine/spi_engine_offload/spi_engine_offload_hw.tcl b/library/spi_engine/spi_engine_offload/spi_engine_offload_hw.tcl index 32fe150d2..77887f615 100644 --- a/library/spi_engine/spi_engine_offload/spi_engine_offload_hw.tcl +++ b/library/spi_engine/spi_engine_offload/spi_engine_offload_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../../scripts/adi_env.tcl diff --git a/library/spi_engine/spi_engine_offload/spi_engine_offload_ip.tcl b/library/spi_engine/spi_engine_offload/spi_engine_offload_ip.tcl index dcca632ad..4f2308906 100644 --- a/library/spi_engine/spi_engine_offload/spi_engine_offload_ip.tcl +++ b/library/spi_engine/spi_engine_offload/spi_engine_offload_ip.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/sysid_rom/sysid_rom_hw.tcl b/library/sysid_rom/sysid_rom_hw.tcl index f43507d27..1562f0770 100644 --- a/library/sysid_rom/sysid_rom_hw.tcl +++ b/library/sysid_rom/sysid_rom_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../scripts/adi_env.tcl diff --git a/library/sysid_rom/sysid_rom_ip.tcl b/library/sysid_rom/sysid_rom_ip.tcl index 943ae757f..19f59f8c5 100755 --- a/library/sysid_rom/sysid_rom_ip.tcl +++ b/library/sysid_rom/sysid_rom_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_adcfifo/util_adcfifo_hw.tcl b/library/util_adcfifo/util_adcfifo_hw.tcl index 92b187f5e..548438e5a 100644 --- a/library/util_adcfifo/util_adcfifo_hw.tcl +++ b/library/util_adcfifo/util_adcfifo_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../scripts/adi_env.tcl diff --git a/library/util_adcfifo/util_adcfifo_ip.tcl b/library/util_adcfifo/util_adcfifo_ip.tcl index a422f57c1..fa86232f7 100644 --- a/library/util_adcfifo/util_adcfifo_ip.tcl +++ b/library/util_adcfifo/util_adcfifo_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_axis_fifo/util_axis_fifo_ip.tcl b/library/util_axis_fifo/util_axis_fifo_ip.tcl index 5ff0b2973..561cef56b 100644 --- a/library/util_axis_fifo/util_axis_fifo_ip.tcl +++ b/library/util_axis_fifo/util_axis_fifo_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_axis_fifo_asym/util_axis_fifo_asym_ip.tcl b/library/util_axis_fifo_asym/util_axis_fifo_asym_ip.tcl index c15f5f4e9..5fe4ad90f 100644 --- a/library/util_axis_fifo_asym/util_axis_fifo_asym_ip.tcl +++ b/library/util_axis_fifo_asym/util_axis_fifo_asym_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_axis_resize/util_axis_resize_ip.tcl b/library/util_axis_resize/util_axis_resize_ip.tcl index ecc366c2b..afe584488 100644 --- a/library/util_axis_resize/util_axis_resize_ip.tcl +++ b/library/util_axis_resize/util_axis_resize_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_axis_upscale/util_axis_upscale_ip.tcl b/library/util_axis_upscale/util_axis_upscale_ip.tcl index e3501b758..711b446e6 100644 --- a/library/util_axis_upscale/util_axis_upscale_ip.tcl +++ b/library/util_axis_upscale/util_axis_upscale_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_bsplit/util_bsplit_hw.tcl b/library/util_bsplit/util_bsplit_hw.tcl index ca7ac963b..98283dffe 100644 --- a/library/util_bsplit/util_bsplit_hw.tcl +++ b/library/util_bsplit/util_bsplit_hw.tcl @@ -1,4 +1,7 @@ - +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../scripts/adi_env.tcl diff --git a/library/util_bsplit/util_bsplit_ip.tcl b/library/util_bsplit/util_bsplit_ip.tcl index 86b3680a4..ca0fcd04b 100644 --- a/library/util_bsplit/util_bsplit_ip.tcl +++ b/library/util_bsplit/util_bsplit_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_cdc/util_cdc_constr.tcl b/library/util_cdc/util_cdc_constr.tcl index f40bc4de9..4d8afbd8d 100644 --- a/library/util_cdc/util_cdc_constr.tcl +++ b/library/util_cdc/util_cdc_constr.tcl @@ -1,25 +1,7 @@ -# *************************************************************************** -# *************************************************************************** -# Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -# -# Each core or library found in this collection may have its own licensing terms. -# The user should keep this in in mind while exploring these cores. -# -# Redistribution and use in source and binary forms, -# with or without modification of this file, are permitted under the terms of either -# (at the option of the user): -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory, or at: -# https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -# -# OR -# -# 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -# https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -# -# *************************************************************************** -# *************************************************************************** +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### proc util_cdc_sync_bits_constr {inst {from {}}} { if {$from != {}} { diff --git a/library/util_cdc/util_cdc_ip.tcl b/library/util_cdc/util_cdc_ip.tcl index c08776673..1c99923e7 100644 --- a/library/util_cdc/util_cdc_ip.tcl +++ b/library/util_cdc/util_cdc_ip.tcl @@ -1,25 +1,7 @@ -# *************************************************************************** -# *************************************************************************** -# Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -# -# Each core or library found in this collection may have its own licensing terms. -# The user should keep this in in mind while exploring these cores. -# -# Redistribution and use in source and binary forms, -# with or without modification of this file, are permitted under the terms of either -# (at the option of the user): -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory, or at: -# https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -# -# OR -# -# 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -# https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -# -# *************************************************************************** -# *************************************************************************** +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_cic/util_cic_ip.tcl b/library/util_cic/util_cic_ip.tcl index a3d522b50..0527399a8 100644 --- a/library/util_cic/util_cic_ip.tcl +++ b/library/util_cic/util_cic_ip.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_dacfifo/util_dacfifo_hw.tcl b/library/util_dacfifo/util_dacfifo_hw.tcl index 6c790c636..bb338219e 100644 --- a/library/util_dacfifo/util_dacfifo_hw.tcl +++ b/library/util_dacfifo/util_dacfifo_hw.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../scripts/adi_env.tcl diff --git a/library/util_dacfifo/util_dacfifo_ip.tcl b/library/util_dacfifo/util_dacfifo_ip.tcl index c0efc64b4..84441756c 100644 --- a/library/util_dacfifo/util_dacfifo_ip.tcl +++ b/library/util_dacfifo/util_dacfifo_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_dacfifo/util_dacfifo_ooc.ttcl b/library/util_dacfifo/util_dacfifo_ooc.ttcl index 89a07befc..1baad7125 100644 --- a/library/util_dacfifo/util_dacfifo_ooc.ttcl +++ b/library/util_dacfifo/util_dacfifo_ooc.ttcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### <: setFileUsedIn { out_of_context synthesis implementation } :> <: ;#Component and file information :> diff --git a/library/util_dec256sinc24b/util_dec256sinc24b_ip.tcl b/library/util_dec256sinc24b/util_dec256sinc24b_ip.tcl index 8e544fa22..cbdb869fe 100644 --- a/library/util_dec256sinc24b/util_dec256sinc24b_ip.tcl +++ b/library/util_dec256sinc24b/util_dec256sinc24b_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_delay/util_delay_ip.tcl b/library/util_delay/util_delay_ip.tcl index 02b506cf3..17100bc7c 100644 --- a/library/util_delay/util_delay_ip.tcl +++ b/library/util_delay/util_delay_ip.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_do_ram/util_do_ram_ip.tcl b/library/util_do_ram/util_do_ram_ip.tcl index f5e48b43c..2522a5b0f 100644 --- a/library/util_do_ram/util_do_ram_ip.tcl +++ b/library/util_do_ram/util_do_ram_ip.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_do_ram/util_do_ram_ooc.ttcl b/library/util_do_ram/util_do_ram_ooc.ttcl index 2d50da870..b4034dff3 100644 --- a/library/util_do_ram/util_do_ram_ooc.ttcl +++ b/library/util_do_ram/util_do_ram_ooc.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + <: setFileUsedIn { out_of_context synthesis implementation } :> <: ;#Component and file information :> <: set ComponentName [getComponentNameString] :> diff --git a/library/util_extract/util_extract_ip.tcl b/library/util_extract/util_extract_ip.tcl index 420518745..d38b81a8c 100644 --- a/library/util_extract/util_extract_ip.tcl +++ b/library/util_extract/util_extract_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_fir_dec/util_fir_dec_ip.tcl b/library/util_fir_dec/util_fir_dec_ip.tcl index a95b26150..f79459348 100644 --- a/library/util_fir_dec/util_fir_dec_ip.tcl +++ b/library/util_fir_dec/util_fir_dec_ip.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_fir_int/util_fir_int_ip.tcl b/library/util_fir_int/util_fir_int_ip.tcl index 81de29ad2..c03538bc3 100644 --- a/library/util_fir_int/util_fir_int_ip.tcl +++ b/library/util_fir_int/util_fir_int_ip.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_gmii_to_rgmii/util_gmii_to_rgmii_ip.tcl b/library/util_gmii_to_rgmii/util_gmii_to_rgmii_ip.tcl index ae6c3a614..5dea22875 100644 --- a/library/util_gmii_to_rgmii/util_gmii_to_rgmii_ip.tcl +++ b/library/util_gmii_to_rgmii/util_gmii_to_rgmii_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_hbm/bd/bd.tcl b/library/util_hbm/bd/bd.tcl index 8ad164074..c70fe8e16 100644 --- a/library/util_hbm/bd/bd.tcl +++ b/library/util_hbm/bd/bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + proc init {cellpath otherInfo} { set ip [get_bd_cells $cellpath] diff --git a/library/util_hbm/scripts/adi_util_hbm.tcl b/library/util_hbm/scripts/adi_util_hbm.tcl index 8ac03119c..45ddb3a0c 100644 --- a/library/util_hbm/scripts/adi_util_hbm.tcl +++ b/library/util_hbm/scripts/adi_util_hbm.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # density 4GB,8GB proc ad_create_hbm {ip_name {density "4GB"}} { global hbm_sim; diff --git a/library/util_hbm/util_hbm_ip.tcl b/library/util_hbm/util_hbm_ip.tcl index 8ae0c7066..00a704102 100644 --- a/library/util_hbm/util_hbm_ip.tcl +++ b/library/util_hbm/util_hbm_ip.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_hbm/util_hbm_ooc.ttcl b/library/util_hbm/util_hbm_ooc.ttcl index bb69760a0..bc0ab3a61 100644 --- a/library/util_hbm/util_hbm_ooc.ttcl +++ b/library/util_hbm/util_hbm_ooc.ttcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + <: setFileUsedIn { out_of_context synthesis implementation } :> <: ;#Component and file information :> <: set ComponentName [getComponentNameString] :> diff --git a/library/util_i2c_mixer/util_i2c_mixer_ip.tcl b/library/util_i2c_mixer/util_i2c_mixer_ip.tcl index 55030efc1..eca01ac2d 100644 --- a/library/util_i2c_mixer/util_i2c_mixer_ip.tcl +++ b/library/util_i2c_mixer/util_i2c_mixer_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_mfifo/util_mfifo_ip.tcl b/library/util_mfifo/util_mfifo_ip.tcl index d5ffb7de5..4d579d666 100644 --- a/library/util_mfifo/util_mfifo_ip.tcl +++ b/library/util_mfifo/util_mfifo_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_mii_to_rmii/util_mii_to_rmii_ip.tcl b/library/util_mii_to_rmii/util_mii_to_rmii_ip.tcl index b825bae5e..5e3da9b7b 100644 --- a/library/util_mii_to_rmii/util_mii_to_rmii_ip.tcl +++ b/library/util_mii_to_rmii/util_mii_to_rmii_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_pack/util_cpack2/util_cpack2_hw.tcl b/library/util_pack/util_cpack2/util_cpack2_hw.tcl index 46883fd08..d0409da53 100644 --- a/library/util_pack/util_cpack2/util_cpack2_hw.tcl +++ b/library/util_pack/util_cpack2/util_cpack2_hw.tcl @@ -1,25 +1,7 @@ -# *************************************************************************** -# *************************************************************************** -# Copyright 2018 (c) Analog Devices, Inc. All rights reserved. -# -# Each core or library found in this collection may have its own licensing terms. -# The user should keep this in in mind while exploring these cores. -# -# Redistribution and use in source and binary forms, -# with or without modification of this file, are permitted under the terms of either -# (at the option of the user): -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory, or at: -# https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -# -# OR -# -# 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -# https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -# -# *************************************************************************** -# *************************************************************************** +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../../scripts/adi_env.tcl diff --git a/library/util_pack/util_cpack2/util_cpack2_ip.tcl b/library/util_pack/util_cpack2/util_cpack2_ip.tcl index 8f6bdb9be..bb959edf7 100644 --- a/library/util_pack/util_cpack2/util_cpack2_ip.tcl +++ b/library/util_pack/util_cpack2/util_cpack2_ip.tcl @@ -1,25 +1,7 @@ -# *************************************************************************** -# *************************************************************************** -# Copyright 2018 (c) Analog Devices, Inc. All rights reserved. -# -# Each core or library found in this collection may have its own licensing terms. -# The user should keep this in in mind while exploring these cores. -# -# Redistribution and use in source and binary forms, -# with or without modification of this file, are permitted under the terms of either -# (at the option of the user): -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory, or at: -# https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -# -# OR -# -# 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -# https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -# -# *************************************************************************** -# *************************************************************************** +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_pack/util_upack2/util_upack2_hw.tcl b/library/util_pack/util_upack2/util_upack2_hw.tcl index 300f16fd6..2193c7a7b 100644 --- a/library/util_pack/util_upack2/util_upack2_hw.tcl +++ b/library/util_pack/util_upack2/util_upack2_hw.tcl @@ -1,25 +1,7 @@ -# *************************************************************************** -# *************************************************************************** -# Copyright 2018 (c) Analog Devices, Inc. All rights reserved. -# -# Each core or library found in this collection may have its own licensing terms. -# The user should keep this in in mind while exploring these cores. -# -# Redistribution and use in source and binary forms, -# with or without modification of this file, are permitted under the terms of either -# (at the option of the user): -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory, or at: -# https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -# -# OR -# -# 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -# https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -# -# *************************************************************************** -# *************************************************************************** +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../../scripts/adi_env.tcl diff --git a/library/util_pack/util_upack2/util_upack2_ip.tcl b/library/util_pack/util_upack2/util_upack2_ip.tcl index ef9d0efcb..287993164 100644 --- a/library/util_pack/util_upack2/util_upack2_ip.tcl +++ b/library/util_pack/util_upack2/util_upack2_ip.tcl @@ -1,25 +1,7 @@ -# *************************************************************************** -# *************************************************************************** -# Copyright 2018 (c) Analog Devices, Inc. All rights reserved. -# -# Each core or library found in this collection may have its own licensing terms. -# The user should keep this in in mind while exploring these cores. -# -# Redistribution and use in source and binary forms, -# with or without modification of this file, are permitted under the terms of either -# (at the option of the user): -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory, or at: -# https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -# -# OR -# -# 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -# https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -# -# *************************************************************************** -# *************************************************************************** +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_pad/util_pad_ip.tcl b/library/util_pad/util_pad_ip.tcl index b87ed6ffa..9432309af 100644 --- a/library/util_pad/util_pad_ip.tcl +++ b/library/util_pad/util_pad_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_pulse_gen/util_pulse_gen_ip.tcl b/library/util_pulse_gen/util_pulse_gen_ip.tcl index aaa185dd9..cdd3f1298 100644 --- a/library/util_pulse_gen/util_pulse_gen_ip.tcl +++ b/library/util_pulse_gen/util_pulse_gen_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_rfifo/util_rfifo_hw.tcl b/library/util_rfifo/util_rfifo_hw.tcl index 0b1304544..05fa7931c 100644 --- a/library/util_rfifo/util_rfifo_hw.tcl +++ b/library/util_rfifo/util_rfifo_hw.tcl @@ -1,4 +1,7 @@ - +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../scripts/adi_env.tcl diff --git a/library/util_rfifo/util_rfifo_ip.tcl b/library/util_rfifo/util_rfifo_ip.tcl index 515395ee5..c82240ba4 100644 --- a/library/util_rfifo/util_rfifo_ip.tcl +++ b/library/util_rfifo/util_rfifo_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_sigma_delta_spi/util_sigma_delta_spi_ip.tcl b/library/util_sigma_delta_spi/util_sigma_delta_spi_ip.tcl index d4cf86346..a90d88459 100644 --- a/library/util_sigma_delta_spi/util_sigma_delta_spi_ip.tcl +++ b/library/util_sigma_delta_spi/util_sigma_delta_spi_ip.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_tdd_sync/util_tdd_sync_ip.tcl b/library/util_tdd_sync/util_tdd_sync_ip.tcl index de8662390..262a274f1 100644 --- a/library/util_tdd_sync/util_tdd_sync_ip.tcl +++ b/library/util_tdd_sync/util_tdd_sync_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ip diff --git a/library/util_var_fifo/util_var_fifo_ip.tcl b/library/util_var_fifo/util_var_fifo_ip.tcl index 36abcd13e..268c8712e 100644 --- a/library/util_var_fifo/util_var_fifo_ip.tcl +++ b/library/util_var_fifo/util_var_fifo_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/util_wfifo/util_wfifo_hw.tcl b/library/util_wfifo/util_wfifo_hw.tcl index cefe27fea..991df73fb 100644 --- a/library/util_wfifo/util_wfifo_hw.tcl +++ b/library/util_wfifo/util_wfifo_hw.tcl @@ -1,4 +1,7 @@ - +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require qsys 14.0 source ../../scripts/adi_env.tcl diff --git a/library/util_wfifo/util_wfifo_ip.tcl b/library/util_wfifo/util_wfifo_ip.tcl index 9b222dfb3..dc9f071a3 100644 --- a/library/util_wfifo/util_wfifo_ip.tcl +++ b/library/util_wfifo/util_wfifo_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/xilinx/axi_adcfifo/axi_adcfifo_ip.tcl b/library/xilinx/axi_adcfifo/axi_adcfifo_ip.tcl index 55822127c..4cc6c9632 100644 --- a/library/xilinx/axi_adcfifo/axi_adcfifo_ip.tcl +++ b/library/xilinx/axi_adcfifo/axi_adcfifo_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/xilinx/axi_adxcvr/axi_adxcvr_ip.tcl b/library/xilinx/axi_adxcvr/axi_adxcvr_ip.tcl index e858b196f..9cc9cb53c 100644 --- a/library/xilinx/axi_adxcvr/axi_adxcvr_ip.tcl +++ b/library/xilinx/axi_adxcvr/axi_adxcvr_ip.tcl @@ -1,5 +1,9 @@ -## AUTO GENERATED BY axi_adxcvr.pl, DO NOT MODIFY! +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +## AUTO GENERATED BY axi_adxcvr.pl, DO NOT MODIFY! source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/xilinx/axi_dacfifo/axi_dacfifo_ip.tcl b/library/xilinx/axi_dacfifo/axi_dacfifo_ip.tcl index 8ec49ef8c..6b6a5475d 100644 --- a/library/xilinx/axi_dacfifo/axi_dacfifo_ip.tcl +++ b/library/xilinx/axi_dacfifo/axi_dacfifo_ip.tcl @@ -1,5 +1,9 @@ -# ip +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ip source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/xilinx/axi_xcvrlb/axi_xcvrlb_ip.tcl b/library/xilinx/axi_xcvrlb/axi_xcvrlb_ip.tcl index 79a417bfd..a2c60747e 100644 --- a/library/xilinx/axi_xcvrlb/axi_xcvrlb_ip.tcl +++ b/library/xilinx/axi_xcvrlb/axi_xcvrlb_ip.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/xilinx/util_adxcvr/bd/bd.tcl b/library/xilinx/util_adxcvr/bd/bd.tcl index 6465308f3..195721bd9 100644 --- a/library/xilinx/util_adxcvr/bd/bd.tcl +++ b/library/xilinx/util_adxcvr/bd/bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### proc init {cellpath otherInfo} { set ip [get_bd_cells $cellpath] diff --git a/library/xilinx/util_adxcvr/util_adxcvr_ip.tcl b/library/xilinx/util_adxcvr/util_adxcvr_ip.tcl index fe21f1103..cacd05953 100644 --- a/library/xilinx/util_adxcvr/util_adxcvr_ip.tcl +++ b/library/xilinx/util_adxcvr/util_adxcvr_ip.tcl @@ -1,5 +1,9 @@ -## AUTO GENERATED BY util_adxcvr.pl, DO NOT MODIFY! +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +## AUTO GENERATED BY util_adxcvr.pl, DO NOT MODIFY! source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/xilinx/util_clkdiv/util_clkdiv_ip.tcl b/library/xilinx/util_clkdiv/util_clkdiv_ip.tcl index e68678bee..41d89d82f 100644 --- a/library/xilinx/util_clkdiv/util_clkdiv_ip.tcl +++ b/library/xilinx/util_clkdiv/util_clkdiv_ip.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip_xilinx.tcl diff --git a/library/xilinx/util_clkdiv/util_clkdiv_ooc.ttcl b/library/xilinx/util_clkdiv/util_clkdiv_ooc.ttcl index dba16a49c..b0deed4bd 100644 --- a/library/xilinx/util_clkdiv/util_clkdiv_ooc.ttcl +++ b/library/xilinx/util_clkdiv/util_clkdiv_ooc.ttcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +# SPDX short identifier: ADIBSD +############################################################################### <: setFileUsedIn { out_of_context synthesis implementation } :> <: ;#Component and file information :> diff --git a/projects/ad40xx_fmc/common/ad40xx_bd.tcl b/projects/ad40xx_fmc/common/ad40xx_bd.tcl index 51d339e0f..e1813d6eb 100644 --- a/projects/ad40xx_fmc/common/ad40xx_bd.tcl +++ b/projects/ad40xx_fmc/common/ad40xx_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_bd_intf_port -mode Master -vlnv analog.com:interface:spi_master_rtl:1.0 ad40xx_spi diff --git a/projects/ad40xx_fmc/zed/system_bd.tcl b/projects/ad40xx_fmc/zed/system_bd.tcl index f239a7698..46952e1c9 100644 --- a/projects/ad40xx_fmc/zed/system_bd.tcl +++ b/projects/ad40xx_fmc/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad40xx_fmc/zed/system_project.tcl b/projects/ad40xx_fmc/zed/system_project.tcl index f5478bff0..4490beff5 100644 --- a/projects/ad40xx_fmc/zed/system_project.tcl +++ b/projects/ad40xx_fmc/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad4110/zed/system_bd.tcl b/projects/ad4110/zed/system_bd.tcl index 7de9f5fe8..ca2e38c3a 100644 --- a/projects/ad4110/zed/system_bd.tcl +++ b/projects/ad4110/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad4110/zed/system_project.tcl b/projects/ad4110/zed/system_project.tcl index fa74bcf18..98165c1c9 100644 --- a/projects/ad4110/zed/system_project.tcl +++ b/projects/ad4110/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad4134_fmc/common/ad4134_bd.tcl b/projects/ad4134_fmc/common/ad4134_bd.tcl index 55675ddc8..67f9ff8fd 100644 --- a/projects/ad4134_fmc/common/ad4134_bd.tcl +++ b/projects/ad4134_fmc/common/ad4134_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + create_bd_intf_port -mode Master -vlnv analog.com:interface:spi_master_rtl:1.0 ad4134_di create_bd_port -dir O ad4134_odr diff --git a/projects/ad4134_fmc/zed/system_bd.tcl b/projects/ad4134_fmc/zed/system_bd.tcl index 48d433025..f77924636 100755 --- a/projects/ad4134_fmc/zed/system_bd.tcl +++ b/projects/ad4134_fmc/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad4134_fmc/zed/system_project.tcl b/projects/ad4134_fmc/zed/system_project.tcl index 6d3bd8535..3a69d90d9 100755 --- a/projects/ad4134_fmc/zed/system_project.tcl +++ b/projects/ad4134_fmc/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad4630_fmc/common/ad463x_bd.tcl b/projects/ad4630_fmc/common/ad463x_bd.tcl index 175721f07..03d27147d 100644 --- a/projects/ad4630_fmc/common/ad463x_bd.tcl +++ b/projects/ad4630_fmc/common/ad463x_bd.tcl @@ -1,5 +1,9 @@ -source $ad_hdl_dir/library/spi_engine/scripts/spi_engine.tcl +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +source $ad_hdl_dir/library/spi_engine/scripts/spi_engine.tcl # system level parameters set NUM_OF_SDI $ad_project_params(NUM_OF_SDI) set CAPTURE_ZONE $ad_project_params(CAPTURE_ZONE) diff --git a/projects/ad4630_fmc/zed/system_bd.tcl b/projects/ad4630_fmc/zed/system_bd.tcl index f488ddae9..cf5c1aab2 100644 --- a/projects/ad4630_fmc/zed/system_bd.tcl +++ b/projects/ad4630_fmc/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl diff --git a/projects/ad4630_fmc/zed/system_project.tcl b/projects/ad4630_fmc/zed/system_project.tcl index 794618cad..5c5831ca2 100644 --- a/projects/ad4630_fmc/zed/system_project.tcl +++ b/projects/ad4630_fmc/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad469x_fmc/common/ad469x_bd.tcl b/projects/ad469x_fmc/common/ad469x_bd.tcl index 825cc003c..2881728c8 100644 --- a/projects/ad469x_fmc/common/ad469x_bd.tcl +++ b/projects/ad469x_fmc/common/ad469x_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + create_bd_intf_port -mode Master -vlnv analog.com:interface:spi_master_rtl:1.0 ad469x_spi create_bd_port -dir O ad469x_spi_cnv create_bd_port -dir I ad469x_spi_busy diff --git a/projects/ad469x_fmc/zed/system_bd.tcl b/projects/ad469x_fmc/zed/system_bd.tcl index a99776f61..ca7562d78 100644 --- a/projects/ad469x_fmc/zed/system_bd.tcl +++ b/projects/ad469x_fmc/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad469x_fmc/zed/system_project.tcl b/projects/ad469x_fmc/zed/system_project.tcl index 6a8fe0dd9..1d1059422 100644 --- a/projects/ad469x_fmc/zed/system_project.tcl +++ b/projects/ad469x_fmc/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_xilinx.tcl source ../../scripts/adi_board.tcl diff --git a/projects/ad5758_sdz/zed/system_bd.tcl b/projects/ad5758_sdz/zed/system_bd.tcl index d6cc9cc22..a02b6c431 100644 --- a/projects/ad5758_sdz/zed/system_bd.tcl +++ b/projects/ad5758_sdz/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad5758_sdz/zed/system_project.tcl b/projects/ad5758_sdz/zed/system_project.tcl index beb58c318..b7607c5d2 100644 --- a/projects/ad5758_sdz/zed/system_project.tcl +++ b/projects/ad5758_sdz/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad5766_sdz/common/ad5766_bd.tcl b/projects/ad5766_sdz/common/ad5766_bd.tcl index 1bbccdd3c..6008b031e 100644 --- a/projects/ad5766_sdz/common/ad5766_bd.tcl +++ b/projects/ad5766_sdz/common/ad5766_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create a SPI Engine architecture diff --git a/projects/ad5766_sdz/zed/system_bd.tcl b/projects/ad5766_sdz/zed/system_bd.tcl index 6d2b2cc48..037739bc5 100644 --- a/projects/ad5766_sdz/zed/system_bd.tcl +++ b/projects/ad5766_sdz/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad5766_sdz/zed/system_project.tcl b/projects/ad5766_sdz/zed/system_project.tcl index def341164..cdbdb6a69 100644 --- a/projects/ad5766_sdz/zed/system_project.tcl +++ b/projects/ad5766_sdz/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad6676evb/common/ad6676evb_bd.tcl b/projects/ad6676evb/common/ad6676evb_bd.tcl index 83769a072..bdd7c078b 100644 --- a/projects/ad6676evb/common/ad6676evb_bd.tcl +++ b/projects/ad6676evb/common/ad6676evb_bd.tcl @@ -1,7 +1,10 @@ -# +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # Parameter description: # RX_JESD_L : Number of lanes per link -# source $ad_hdl_dir/library/jesd204/scripts/jesd204.tcl diff --git a/projects/ad6676evb/vc707/system_bd.tcl b/projects/ad6676evb/vc707/system_bd.tcl index 11a782cb7..79dad08c7 100644 --- a/projects/ad6676evb/vc707/system_bd.tcl +++ b/projects/ad6676evb/vc707/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/vc707/vc707_system_bd.tcl source ../common/ad6676evb_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad6676evb/vc707/system_project.tcl b/projects/ad6676evb/vc707/system_project.tcl index d47127474..595fcf4aa 100644 --- a/projects/ad6676evb/vc707/system_project.tcl +++ b/projects/ad6676evb/vc707/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad6676evb/zc706/system_bd.tcl b/projects/ad6676evb/zc706/system_bd.tcl index 7ad1f7690..1fde9d83e 100644 --- a/projects/ad6676evb/zc706/system_bd.tcl +++ b/projects/ad6676evb/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source ../common/ad6676evb_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad6676evb/zc706/system_project.tcl b/projects/ad6676evb/zc706/system_project.tcl index bba566d55..cd51c6154 100644 --- a/projects/ad6676evb/zc706/system_project.tcl +++ b/projects/ad6676evb/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad7134_fmc/common/ad7134_bd.tcl b/projects/ad7134_fmc/common/ad7134_bd.tcl index 380e5229f..d136fb01a 100644 --- a/projects/ad7134_fmc/common/ad7134_bd.tcl +++ b/projects/ad7134_fmc/common/ad7134_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + create_bd_intf_port -mode Master -vlnv analog.com:interface:spi_master_rtl:1.0 ad713x_di create_bd_port -dir O ad713x_odr create_bd_port -dir O ad713x_sdpclk diff --git a/projects/ad7134_fmc/zed/system_bd.tcl b/projects/ad7134_fmc/zed/system_bd.tcl index a32a9ccbd..be7268108 100644 --- a/projects/ad7134_fmc/zed/system_bd.tcl +++ b/projects/ad7134_fmc/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad7134_fmc/zed/system_project.tcl b/projects/ad7134_fmc/zed/system_project.tcl index e6e52aa0e..14ac7e626 100644 --- a/projects/ad7134_fmc/zed/system_project.tcl +++ b/projects/ad7134_fmc/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad719x_asdz/coraz7s/system_bd.tcl b/projects/ad719x_asdz/coraz7s/system_bd.tcl index 58cfa2e2a..4d08467a3 100644 --- a/projects/ad719x_asdz/coraz7s/system_bd.tcl +++ b/projects/ad719x_asdz/coraz7s/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/coraz7s/coraz7s_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad719x_asdz/coraz7s/system_project.tcl b/projects/ad719x_asdz/coraz7s/system_project.tcl index 3c54b1330..15b6fc8cb 100644 --- a/projects/ad719x_asdz/coraz7s/system_project.tcl +++ b/projects/ad719x_asdz/coraz7s/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad738x_fmc/common/ad738x_bd.tcl b/projects/ad738x_fmc/common/ad738x_bd.tcl index 2144595c9..099cb2c47 100644 --- a/projects/ad738x_fmc/common/ad738x_bd.tcl +++ b/projects/ad738x_fmc/common/ad738x_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_bd_intf_port -mode Master -vlnv analog.com:interface:spi_master_rtl:1.0 spi diff --git a/projects/ad738x_fmc/zed/system_bd.tcl b/projects/ad738x_fmc/zed/system_bd.tcl index 579810d16..69fdb4679 100644 --- a/projects/ad738x_fmc/zed/system_bd.tcl +++ b/projects/ad738x_fmc/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad738x_fmc/zed/system_project.tcl b/projects/ad738x_fmc/zed/system_project.tcl index 5790db5f0..642fa674d 100644 --- a/projects/ad738x_fmc/zed/system_project.tcl +++ b/projects/ad738x_fmc/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad7405_fmc/common/ad7405_bd.tcl b/projects/ad7405_fmc/common/ad7405_bd.tcl index 95d55d1a2..49fa9daf2 100644 --- a/projects/ad7405_fmc/common/ad7405_bd.tcl +++ b/projects/ad7405_fmc/common/ad7405_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_bd_port -dir O adc_clk create_bd_port -dir I adc_data diff --git a/projects/ad7405_fmc/zed/system_bd.tcl b/projects/ad7405_fmc/zed/system_bd.tcl index c7e7fd769..100863fb7 100644 --- a/projects/ad7405_fmc/zed/system_bd.tcl +++ b/projects/ad7405_fmc/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad7405_fmc/zed/system_project.tcl b/projects/ad7405_fmc/zed/system_project.tcl index d375930bb..75c7480b7 100644 --- a/projects/ad7405_fmc/zed/system_project.tcl +++ b/projects/ad7405_fmc/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad7606x_fmc/common/ad7606x_bd.tcl b/projects/ad7606x_fmc/common/ad7606x_bd.tcl index b677a9723..c52d145fa 100644 --- a/projects/ad7606x_fmc/common/ad7606x_bd.tcl +++ b/projects/ad7606x_fmc/common/ad7606x_bd.tcl @@ -1,5 +1,9 @@ -# system level parameters +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# system level parameters set DEV_CONFIG $ad_project_params(DEV_CONFIG) set ADC_N_BITS [expr {$DEV_CONFIG == 2 ? 18 : 16}] set ADC_TO_DMA_N_BITS [expr {$ADC_N_BITS == 16 ? 16 : 32}] diff --git a/projects/ad7606x_fmc/zed/system_bd.tcl b/projects/ad7606x_fmc/zed/system_bd.tcl index fcab16572..369a0261b 100644 --- a/projects/ad7606x_fmc/zed/system_bd.tcl +++ b/projects/ad7606x_fmc/zed/system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad7606x_fmc/zed/system_project.tcl b/projects/ad7606x_fmc/zed/system_project.tcl index 1ed705b03..d18e022e7 100644 --- a/projects/ad7606x_fmc/zed/system_project.tcl +++ b/projects/ad7606x_fmc/zed/system_project.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl diff --git a/projects/ad7616_sdz/common/ad7616_bd.tcl b/projects/ad7616_sdz/common/ad7616_bd.tcl index f49745ac2..5dc107bdb 100644 --- a/projects/ad7616_sdz/common/ad7616_bd.tcl +++ b/projects/ad7616_sdz/common/ad7616_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # system level parameters set SI_OR_PI $ad_project_params(SI_OR_PI) diff --git a/projects/ad7616_sdz/zc706/system_bd.tcl b/projects/ad7616_sdz/zc706/system_bd.tcl index 80e5cb954..ed8efbbf4 100644 --- a/projects/ad7616_sdz/zc706/system_bd.tcl +++ b/projects/ad7616_sdz/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad7616_sdz/zc706/system_project.tcl b/projects/ad7616_sdz/zc706/system_project.tcl index 95e0c344a..be056425e 100644 --- a/projects/ad7616_sdz/zc706/system_project.tcl +++ b/projects/ad7616_sdz/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad7616_sdz/zed/system_bd.tcl b/projects/ad7616_sdz/zed/system_bd.tcl index 10f2d4d5e..628a6ff90 100644 --- a/projects/ad7616_sdz/zed/system_bd.tcl +++ b/projects/ad7616_sdz/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad7616_sdz/zed/system_project.tcl b/projects/ad7616_sdz/zed/system_project.tcl index fa2f281d9..75b8f776d 100644 --- a/projects/ad7616_sdz/zed/system_project.tcl +++ b/projects/ad7616_sdz/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl @@ -50,6 +55,6 @@ switch $S_SI_OR_PI { "parallel_if_constr.xdc" ] } -} +} adi_project_run ad7616_sdz_zed diff --git a/projects/ad77681evb/common/ad77681evb_bd.tcl b/projects/ad77681evb/common/ad77681evb_bd.tcl index 09e68f70f..28700cc5a 100644 --- a/projects/ad77681evb/common/ad77681evb_bd.tcl +++ b/projects/ad77681evb/common/ad77681evb_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_bd_intf_port -mode Master -vlnv analog.com:interface:spi_master_rtl:1.0 adc_spi diff --git a/projects/ad77681evb/zed/system_bd.tcl b/projects/ad77681evb/zed/system_bd.tcl index 160e0c150..8caa481b4 100644 --- a/projects/ad77681evb/zed/system_bd.tcl +++ b/projects/ad77681evb/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad77681evb/zed/system_project.tcl b/projects/ad77681evb/zed/system_project.tcl index accf18fee..3d9062a28 100644 --- a/projects/ad77681evb/zed/system_project.tcl +++ b/projects/ad77681evb/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad7768evb/common/ad7768evb_bd.tcl b/projects/ad7768evb/common/ad7768evb_bd.tcl index db44fe4f6..e5c1ccfa4 100644 --- a/projects/ad7768evb/common/ad7768evb_bd.tcl +++ b/projects/ad7768evb/common/ad7768evb_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad7768 interface diff --git a/projects/ad7768evb/zed/system_bd.tcl b/projects/ad7768evb/zed/system_bd.tcl index 61d5a721f..197bfe125 100644 --- a/projects/ad7768evb/zed/system_bd.tcl +++ b/projects/ad7768evb/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad7768evb/zed/system_project.tcl b/projects/ad7768evb/zed/system_project.tcl index 7e4c0976f..2b1bb6809 100644 --- a/projects/ad7768evb/zed/system_project.tcl +++ b/projects/ad7768evb/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad777x_ardz/common/ad777x_ardz_bd.tcl b/projects/ad777x_ardz/common/ad777x_ardz_bd.tcl index 1c5ebb706..f73eed055 100644 --- a/projects/ad777x_ardz/common/ad777x_ardz_bd.tcl +++ b/projects/ad777x_ardz/common/ad777x_ardz_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad777x interface diff --git a/projects/ad777x_ardz/common/ad777x_ardz_qsys.tcl b/projects/ad777x_ardz/common/ad777x_ardz_qsys.tcl index bc5c34bc9..ae0900ff8 100644 --- a/projects/ad777x_ardz/common/ad777x_ardz_qsys.tcl +++ b/projects/ad777x_ardz/common/ad777x_ardz_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad777x diff --git a/projects/ad777x_ardz/de10nano/system_project.tcl b/projects/ad777x_ardz/de10nano/system_project.tcl index 7657e6b62..8866a4b2f 100644 --- a/projects/ad777x_ardz/de10nano/system_project.tcl +++ b/projects/ad777x_ardz/de10nano/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set REQUIRED_QUARTUS_VERSION 22.1std.0 set QUARTUS_PRO_ISUSED 0 source ../../../scripts/adi_env.tcl diff --git a/projects/ad777x_ardz/de10nano/system_qsys.tcl b/projects/ad777x_ardz/de10nano/system_qsys.tcl index 321c4beb4..e49be2316 100644 --- a/projects/ad777x_ardz/de10nano/system_qsys.tcl +++ b/projects/ad777x_ardz/de10nano/system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/de10nano/de10nano_system_qsys.tcl diff --git a/projects/ad777x_ardz/zed/system_bd.tcl b/projects/ad777x_ardz/zed/system_bd.tcl index 79b611e76..1340c4af8 100644 --- a/projects/ad777x_ardz/zed/system_bd.tcl +++ b/projects/ad777x_ardz/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source ../common/ad777x_ardz_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad777x_ardz/zed/system_project.tcl b/projects/ad777x_ardz/zed/system_project.tcl index 08310493f..7d44e4fb9 100644 --- a/projects/ad777x_ardz/zed/system_project.tcl +++ b/projects/ad777x_ardz/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # load script source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl diff --git a/projects/ad9081_fmca_ebz/a10soc/system_project.tcl b/projects/ad9081_fmca_ebz/a10soc/system_project.tcl index 7abfd53d3..854f57d6c 100755 --- a/projects/ad9081_fmca_ebz/a10soc/system_project.tcl +++ b/projects/ad9081_fmca_ebz/a10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/ad9081_fmca_ebz/a10soc/system_qsys.tcl b/projects/ad9081_fmca_ebz/a10soc/system_qsys.tcl index 37cecbfbb..f56104848 100755 --- a/projects/ad9081_fmca_ebz/a10soc/system_qsys.tcl +++ b/projects/ad9081_fmca_ebz/a10soc/system_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### ## ADC FIFO depth in samples per converter set adc_fifo_samples_per_converter [expr $ad_project_params(RX_KS_PER_CHANNEL)*1024] diff --git a/projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl b/projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl index 3166fd65b..a803e9dc1 100644 --- a/projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl +++ b/projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl @@ -1,4 +1,8 @@ -# +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # Parameter description: # JESD_MODE : Used link layer encoder mode # 64B66B - 64b66b link layer defined in JESD 204C diff --git a/projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_qsys.tcl b/projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_qsys.tcl index 1ee26496c..cd87cc911 100644 --- a/projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_qsys.tcl +++ b/projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # RX parameters set RX_NUM_OF_LINKS $ad_project_params(RX_NUM_LINKS) diff --git a/projects/ad9081_fmca_ebz/common/versal_transceiver.tcl b/projects/ad9081_fmca_ebz/common/versal_transceiver.tcl index ea038dcf9..bf98fb14a 100644 --- a/projects/ad9081_fmca_ebz/common/versal_transceiver.tcl +++ b/projects/ad9081_fmca_ebz/common/versal_transceiver.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + proc create_versal_phy { {ip_name versal_phy} {num_lanes 4} diff --git a/projects/ad9081_fmca_ebz/vck190/system_bd.tcl b/projects/ad9081_fmca_ebz/vck190/system_bd.tcl index ceea67ca3..00f8d5432 100644 --- a/projects/ad9081_fmca_ebz/vck190/system_bd.tcl +++ b/projects/ad9081_fmca_ebz/vck190/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## ADC FIFO depth in samples per converter set adc_fifo_samples_per_converter [expr $ad_project_params(RX_KS_PER_CHANNEL)*1024] ## DAC FIFO depth in samples per converter diff --git a/projects/ad9081_fmca_ebz/vck190/system_project.tcl b/projects/ad9081_fmca_ebz/vck190/system_project.tcl index 62641bf04..02a634127 100644 --- a/projects/ad9081_fmca_ebz/vck190/system_project.tcl +++ b/projects/ad9081_fmca_ebz/vck190/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9081_fmca_ebz/vcu118/system_bd.tcl b/projects/ad9081_fmca_ebz/vcu118/system_bd.tcl index 880a55a78..62260bea9 100644 --- a/projects/ad9081_fmca_ebz/vcu118/system_bd.tcl +++ b/projects/ad9081_fmca_ebz/vcu118/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## ADC FIFO depth in samples per converter set adc_fifo_samples_per_converter [expr $ad_project_params(RX_KS_PER_CHANNEL)*1024] ## DAC FIFO depth in samples per converter diff --git a/projects/ad9081_fmca_ebz/vcu118/system_project.tcl b/projects/ad9081_fmca_ebz/vcu118/system_project.tcl index f64113d05..0f5a64287 100644 --- a/projects/ad9081_fmca_ebz/vcu118/system_project.tcl +++ b/projects/ad9081_fmca_ebz/vcu118/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9081_fmca_ebz/vcu128/system_bd.tcl b/projects/ad9081_fmca_ebz/vcu128/system_bd.tcl index f1835b1d8..4400cb2c0 100644 --- a/projects/ad9081_fmca_ebz/vcu128/system_bd.tcl +++ b/projects/ad9081_fmca_ebz/vcu128/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## ADC FIFO depth in samples per converter set adc_fifo_samples_per_converter [expr $ad_project_params(RX_KS_PER_CHANNEL)*1024] ## DAC FIFO depth in samples per converter diff --git a/projects/ad9081_fmca_ebz/vcu128/system_project.tcl b/projects/ad9081_fmca_ebz/vcu128/system_project.tcl index b8d03858c..aa5f19259 100644 --- a/projects/ad9081_fmca_ebz/vcu128/system_project.tcl +++ b/projects/ad9081_fmca_ebz/vcu128/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9081_fmca_ebz/zc706/system_bd.tcl b/projects/ad9081_fmca_ebz/zc706/system_bd.tcl index 5313ac0f6..02ab04d17 100644 --- a/projects/ad9081_fmca_ebz/zc706/system_bd.tcl +++ b/projects/ad9081_fmca_ebz/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## ADC FIFO depth in samples per converter set adc_fifo_samples_per_converter [expr 32*1024] ## DAC FIFO depth in samples per converter diff --git a/projects/ad9081_fmca_ebz/zc706/system_project.tcl b/projects/ad9081_fmca_ebz/zc706/system_project.tcl index bb1660f3a..59272a5b9 100644 --- a/projects/ad9081_fmca_ebz/zc706/system_project.tcl +++ b/projects/ad9081_fmca_ebz/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9081_fmca_ebz/zcu102/system_bd.tcl b/projects/ad9081_fmca_ebz/zcu102/system_bd.tcl index 84e30abd1..6b47843f0 100644 --- a/projects/ad9081_fmca_ebz/zcu102/system_bd.tcl +++ b/projects/ad9081_fmca_ebz/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## ADC FIFO depth in samples per converter set adc_fifo_samples_per_converter [expr 64*1024] ## DAC FIFO depth in samples per converter diff --git a/projects/ad9081_fmca_ebz/zcu102/system_project.tcl b/projects/ad9081_fmca_ebz/zcu102/system_project.tcl index fc4241d58..cdaae69cf 100644 --- a/projects/ad9081_fmca_ebz/zcu102/system_project.tcl +++ b/projects/ad9081_fmca_ebz/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9081_fmca_ebz_x_band/zcu102/system_bd.tcl b/projects/ad9081_fmca_ebz_x_band/zcu102/system_bd.tcl index 6bf64d98f..866452642 100644 --- a/projects/ad9081_fmca_ebz_x_band/zcu102/system_bd.tcl +++ b/projects/ad9081_fmca_ebz_x_band/zcu102/system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### ## ADC FIFO depth in samples per converter set adc_fifo_samples_per_converter [expr $ad_project_params(RX_KS_PER_CHANNEL)*1024] diff --git a/projects/ad9081_fmca_ebz_x_band/zcu102/system_project.tcl b/projects/ad9081_fmca_ebz_x_band/zcu102/system_project.tcl index 0f00ca230..e8e41d6e4 100644 --- a/projects/ad9081_fmca_ebz_x_band/zcu102/system_project.tcl +++ b/projects/ad9081_fmca_ebz_x_band/zcu102/system_project.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl diff --git a/projects/ad9082_fmca_ebz/vck190/system_bd.tcl b/projects/ad9082_fmca_ebz/vck190/system_bd.tcl index 5eb1f74d9..7b8cae824 100644 --- a/projects/ad9082_fmca_ebz/vck190/system_bd.tcl +++ b/projects/ad9082_fmca_ebz/vck190/system_bd.tcl @@ -1 +1,6 @@ +############################################################################### +## Copyright (C) 2023-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/ad9081_fmca_ebz/vck190/system_bd.tcl diff --git a/projects/ad9082_fmca_ebz/vck190/system_project.tcl b/projects/ad9082_fmca_ebz/vck190/system_project.tcl index 5cb69cf37..2b22077de 100644 --- a/projects/ad9082_fmca_ebz/vck190/system_project.tcl +++ b/projects/ad9082_fmca_ebz/vck190/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9082_fmca_ebz/vcu118/system_bd.tcl b/projects/ad9082_fmca_ebz/vcu118/system_bd.tcl index 1a278f6e1..60469cb3a 100644 --- a/projects/ad9082_fmca_ebz/vcu118/system_bd.tcl +++ b/projects/ad9082_fmca_ebz/vcu118/system_bd.tcl @@ -1 +1,6 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +# SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/ad9081_fmca_ebz/vcu118/system_bd.tcl \ No newline at end of file diff --git a/projects/ad9082_fmca_ebz/vcu118/system_project.tcl b/projects/ad9082_fmca_ebz/vcu118/system_project.tcl index 1baef022f..97d7c3c99 100644 --- a/projects/ad9082_fmca_ebz/vcu118/system_project.tcl +++ b/projects/ad9082_fmca_ebz/vcu118/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9082_fmca_ebz/zc706/system_bd.tcl b/projects/ad9082_fmca_ebz/zc706/system_bd.tcl index 6dbb75c46..4e85beb80 100644 --- a/projects/ad9082_fmca_ebz/zc706/system_bd.tcl +++ b/projects/ad9082_fmca_ebz/zc706/system_bd.tcl @@ -1,2 +1,6 @@ -source $ad_hdl_dir/projects/ad9081_fmca_ebz/zc706/system_bd.tcl +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +source $ad_hdl_dir/projects/ad9081_fmca_ebz/zc706/system_bd.tcl diff --git a/projects/ad9082_fmca_ebz/zc706/system_project.tcl b/projects/ad9082_fmca_ebz/zc706/system_project.tcl index e3d4d2b64..92945b6b5 100644 --- a/projects/ad9082_fmca_ebz/zc706/system_project.tcl +++ b/projects/ad9082_fmca_ebz/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9082_fmca_ebz/zcu102/system_bd.tcl b/projects/ad9082_fmca_ebz/zcu102/system_bd.tcl index c9d52baea..bcefffc04 100644 --- a/projects/ad9082_fmca_ebz/zcu102/system_bd.tcl +++ b/projects/ad9082_fmca_ebz/zcu102/system_bd.tcl @@ -1,2 +1,6 @@ -source $ad_hdl_dir/projects/ad9081_fmca_ebz/zcu102/system_bd.tcl +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +source $ad_hdl_dir/projects/ad9081_fmca_ebz/zcu102/system_bd.tcl diff --git a/projects/ad9082_fmca_ebz/zcu102/system_project.tcl b/projects/ad9082_fmca_ebz/zcu102/system_project.tcl index 9c9fe0bc1..10948b7b2 100644 --- a/projects/ad9082_fmca_ebz/zcu102/system_project.tcl +++ b/projects/ad9082_fmca_ebz/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9083_evb/a10soc/system_project.tcl b/projects/ad9083_evb/a10soc/system_project.tcl index 2a25a191d..2c1ee8583 100644 --- a/projects/ad9083_evb/a10soc/system_project.tcl +++ b/projects/ad9083_evb/a10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/ad9083_evb/a10soc/system_qsys.tcl b/projects/ad9083_evb/a10soc/system_qsys.tcl index 5a3b19c50..c281c9e29 100644 --- a/projects/ad9083_evb/a10soc/system_qsys.tcl +++ b/projects/ad9083_evb/a10soc/system_qsys.tcl @@ -1,5 +1,9 @@ -set adc_fifo_address_width 8 +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +set adc_fifo_address_width 8 source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl diff --git a/projects/ad9083_evb/common/ad9083_evb_bd.tcl b/projects/ad9083_evb/common/ad9083_evb_bd.tcl index 8c1e7a298..ee957979b 100644 --- a/projects/ad9083_evb/common/ad9083_evb_bd.tcl +++ b/projects/ad9083_evb/common/ad9083_evb_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source $ad_hdl_dir/library/jesd204/scripts/jesd204.tcl diff --git a/projects/ad9083_evb/common/ad9083_evb_qsys.tcl b/projects/ad9083_evb/common/ad9083_evb_qsys.tcl index 36d187acd..54cd3f210 100644 --- a/projects/ad9083_evb/common/ad9083_evb_qsys.tcl +++ b/projects/ad9083_evb/common/ad9083_evb_qsys.tcl @@ -1,5 +1,9 @@ -# JESD204B attributes +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# JESD204B attributes set RX_NUM_OF_LANES 4 ; # L set RX_NUM_OF_CONVERTERS 16 ; # M set RX_SAMPLES_PER_FRAME 1 ; # S diff --git a/projects/ad9083_evb/zcu102/system_bd.tcl b/projects/ad9083_evb/zcu102/system_bd.tcl index 92107df5b..ae898f7ae 100644 --- a/projects/ad9083_evb/zcu102/system_bd.tcl +++ b/projects/ad9083_evb/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl source ../common/ad9083_evb_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad9083_evb/zcu102/system_project.tcl b/projects/ad9083_evb/zcu102/system_project.tcl index 524758905..336d63330 100644 --- a/projects/ad9083_evb/zcu102/system_project.tcl +++ b/projects/ad9083_evb/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9083_vna/common/ad9083_vna_bd.tcl b/projects/ad9083_vna/common/ad9083_vna_bd.tcl index 6dd5ba680..5641b46ea 100644 --- a/projects/ad9083_vna/common/ad9083_vna_bd.tcl +++ b/projects/ad9083_vna/common/ad9083_vna_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../ad9083_evb/common/ad9083_evb_bd.tcl diff --git a/projects/ad9083_vna/zcu102/system_bd.tcl b/projects/ad9083_vna/zcu102/system_bd.tcl index 583c6e004..437879b69 100644 --- a/projects/ad9083_vna/zcu102/system_bd.tcl +++ b/projects/ad9083_vna/zcu102/system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad9083_vna/zcu102/system_project.tcl b/projects/ad9083_vna/zcu102/system_project.tcl index 3a49fc03c..41ce17e47 100644 --- a/projects/ad9083_vna/zcu102/system_project.tcl +++ b/projects/ad9083_vna/zcu102/system_project.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl diff --git a/projects/ad9208_dual_ebz/common/dual_ad9208_bd.tcl b/projects/ad9208_dual_ebz/common/dual_ad9208_bd.tcl index 3faa6593d..cf763d2ca 100644 --- a/projects/ad9208_dual_ebz/common/dual_ad9208_bd.tcl +++ b/projects/ad9208_dual_ebz/common/dual_ad9208_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # RX parameters for each converter set RX_NUM_OF_LANES 8 ; # L diff --git a/projects/ad9208_dual_ebz/vcu118/system_bd.tcl b/projects/ad9208_dual_ebz/vcu118/system_bd.tcl index 345b6646e..ff6b504b0 100644 --- a/projects/ad9208_dual_ebz/vcu118/system_bd.tcl +++ b/projects/ad9208_dual_ebz/vcu118/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## FIFO depth is 4Mb - 250k samples (65k samples per converter) set adc_fifo_address_width 13 diff --git a/projects/ad9208_dual_ebz/vcu118/system_project.tcl b/projects/ad9208_dual_ebz/vcu118/system_project.tcl index 2416453ac..064f0c3dd 100644 --- a/projects/ad9208_dual_ebz/vcu118/system_project.tcl +++ b/projects/ad9208_dual_ebz/vcu118/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9209_fmca_ebz/vck190/system_bd.tcl b/projects/ad9209_fmca_ebz/vck190/system_bd.tcl index 5839bf407..bbfaac0b7 100644 --- a/projects/ad9209_fmca_ebz/vck190/system_bd.tcl +++ b/projects/ad9209_fmca_ebz/vck190/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # Create only the RX path set INTF_CFG RX diff --git a/projects/ad9209_fmca_ebz/vck190/system_project.tcl b/projects/ad9209_fmca_ebz/vck190/system_project.tcl index 35d235470..04f738e88 100644 --- a/projects/ad9209_fmca_ebz/vck190/system_project.tcl +++ b/projects/ad9209_fmca_ebz/vck190/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9213_dual_ebz/common/ad9213_dual_qsys.tcl b/projects/ad9213_dual_ebz/common/ad9213_dual_qsys.tcl index 60aedd13c..a48fad0a0 100644 --- a/projects/ad9213_dual_ebz/common/ad9213_dual_qsys.tcl +++ b/projects/ad9213_dual_ebz/common/ad9213_dual_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set adc_data_width 1024 set adc_dma_data_width 1024 diff --git a/projects/ad9213_dual_ebz/s10soc/system_project.tcl b/projects/ad9213_dual_ebz/s10soc/system_project.tcl index 9b079dad2..1d576ee5a 100755 --- a/projects/ad9213_dual_ebz/s10soc/system_project.tcl +++ b/projects/ad9213_dual_ebz/s10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/ad9213_dual_ebz/s10soc/system_qsys.tcl b/projects/ad9213_dual_ebz/s10soc/system_qsys.tcl index 734fb504b..ed8fe0fd4 100755 --- a/projects/ad9213_dual_ebz/s10soc/system_qsys.tcl +++ b/projects/ad9213_dual_ebz/s10soc/system_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set adc_fifo_address_width 15 diff --git a/projects/ad9265_fmc/common/ad9265_bd.tcl b/projects/ad9265_fmc/common/ad9265_bd.tcl index 0227a63e5..df2ee3d06 100644 --- a/projects/ad9265_fmc/common/ad9265_bd.tcl +++ b/projects/ad9265_fmc/common/ad9265_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9265 diff --git a/projects/ad9265_fmc/zc706/system_bd.tcl b/projects/ad9265_fmc/zc706/system_bd.tcl index 1b78de107..c6859b55c 100644 --- a/projects/ad9265_fmc/zc706/system_bd.tcl +++ b/projects/ad9265_fmc/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source ../common/ad9265_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad9265_fmc/zc706/system_project.tcl b/projects/ad9265_fmc/zc706/system_project.tcl index 171b1a9df..501394982 100644 --- a/projects/ad9265_fmc/zc706/system_project.tcl +++ b/projects/ad9265_fmc/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # load script source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl diff --git a/projects/ad9434_fmc/common/ad9434_bd.tcl b/projects/ad9434_fmc/common/ad9434_bd.tcl index b09bf7e87..4f27c0f5f 100644 --- a/projects/ad9434_fmc/common/ad9434_bd.tcl +++ b/projects/ad9434_fmc/common/ad9434_bd.tcl @@ -1,5 +1,9 @@ -# ad9434 interface +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ad9434 interface create_bd_port -dir I adc_clk_p create_bd_port -dir I adc_clk_n create_bd_port -dir I -from 11 -to 0 adc_data_p diff --git a/projects/ad9434_fmc/zc706/system_bd.tcl b/projects/ad9434_fmc/zc706/system_bd.tcl index 9bbf761f3..ccc38f98c 100644 --- a/projects/ad9434_fmc/zc706/system_bd.tcl +++ b/projects/ad9434_fmc/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source ../common/ad9434_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad9434_fmc/zc706/system_project.tcl b/projects/ad9434_fmc/zc706/system_project.tcl index 1f628ae79..04da95506 100644 --- a/projects/ad9434_fmc/zc706/system_project.tcl +++ b/projects/ad9434_fmc/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9467_fmc/common/ad9467_bd.tcl b/projects/ad9467_fmc/common/ad9467_bd.tcl index ebb2ad0b6..a822a0452 100644 --- a/projects/ad9467_fmc/common/ad9467_bd.tcl +++ b/projects/ad9467_fmc/common/ad9467_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9467 diff --git a/projects/ad9467_fmc/kc705/system_bd.tcl b/projects/ad9467_fmc/kc705/system_bd.tcl index 7fba0f987..a1d6cce21 100644 --- a/projects/ad9467_fmc/kc705/system_bd.tcl +++ b/projects/ad9467_fmc/kc705/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/kc705/kc705_system_bd.tcl source ../common/ad9467_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad9467_fmc/kc705/system_project.tcl b/projects/ad9467_fmc/kc705/system_project.tcl index cb8113473..045605c52 100644 --- a/projects/ad9467_fmc/kc705/system_project.tcl +++ b/projects/ad9467_fmc/kc705/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # load script source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl diff --git a/projects/ad9467_fmc/zed/system_bd.tcl b/projects/ad9467_fmc/zed/system_bd.tcl index 78bfb109b..0ac736def 100644 --- a/projects/ad9467_fmc/zed/system_bd.tcl +++ b/projects/ad9467_fmc/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source ../common/ad9467_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad9467_fmc/zed/system_project.tcl b/projects/ad9467_fmc/zed/system_project.tcl index 3d09495d7..af8c66229 100644 --- a/projects/ad9467_fmc/zed/system_project.tcl +++ b/projects/ad9467_fmc/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # load script source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl diff --git a/projects/ad9656_fmc/common/ad9656_fmc_bd.tcl b/projects/ad9656_fmc/common/ad9656_fmc_bd.tcl index 0424c8b69..3634caefd 100644 --- a/projects/ad9656_fmc/common/ad9656_fmc_bd.tcl +++ b/projects/ad9656_fmc/common/ad9656_fmc_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # RX parameters set RX_NUM_OF_LANES 4 ; # L diff --git a/projects/ad9656_fmc/zcu102/system_bd.tcl b/projects/ad9656_fmc/zcu102/system_bd.tcl index 807c57b54..16bd58a28 100644 --- a/projects/ad9656_fmc/zcu102/system_bd.tcl +++ b/projects/ad9656_fmc/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad9656_fmc/zcu102/system_project.tcl b/projects/ad9656_fmc/zcu102/system_project.tcl index 9465bf197..7ea0d1bf6 100644 --- a/projects/ad9656_fmc/zcu102/system_project.tcl +++ b/projects/ad9656_fmc/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9695_fmc/common/ad9695_fmc_bd.tcl b/projects/ad9695_fmc/common/ad9695_fmc_bd.tcl index eab5a598b..c00502608 100644 --- a/projects/ad9695_fmc/common/ad9695_fmc_bd.tcl +++ b/projects/ad9695_fmc/common/ad9695_fmc_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # RX parameters set RX_NUM_OF_LANES $ad_project_params(RX_JESD_L) ; # L diff --git a/projects/ad9695_fmc/zcu102/system_bd.tcl b/projects/ad9695_fmc/zcu102/system_bd.tcl index c9de5cc82..ab4b4693d 100644 --- a/projects/ad9695_fmc/zcu102/system_bd.tcl +++ b/projects/ad9695_fmc/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl source ../common/ad9695_fmc_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad9695_fmc/zcu102/system_project.tcl b/projects/ad9695_fmc/zcu102/system_project.tcl index f1ca4f342..57b69232f 100644 --- a/projects/ad9695_fmc/zcu102/system_project.tcl +++ b/projects/ad9695_fmc/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9739a_fmc/common/ad9739a_fmc_bd.tcl b/projects/ad9739a_fmc/common/ad9739a_fmc_bd.tcl index 32d5239dd..a77ad1807 100644 --- a/projects/ad9739a_fmc/common/ad9739a_fmc_bd.tcl +++ b/projects/ad9739a_fmc/common/ad9739a_fmc_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # dac interface diff --git a/projects/ad9739a_fmc/zc706/system_bd.tcl b/projects/ad9739a_fmc/zc706/system_bd.tcl index b5f09a768..62280efa2 100644 --- a/projects/ad9739a_fmc/zc706/system_bd.tcl +++ b/projects/ad9739a_fmc/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source ../common/ad9739a_fmc_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad9739a_fmc/zc706/system_project.tcl b/projects/ad9739a_fmc/zc706/system_project.tcl index 24b349f30..0fe834cdd 100644 --- a/projects/ad9739a_fmc/zc706/system_project.tcl +++ b/projects/ad9739a_fmc/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad9783_ebz/common/ad9783_ebz_bd.tcl b/projects/ad9783_ebz/common/ad9783_ebz_bd.tcl index 4f2183d36..e4f87a6a9 100755 --- a/projects/ad9783_ebz/common/ad9783_ebz_bd.tcl +++ b/projects/ad9783_ebz/common/ad9783_ebz_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # dac interface diff --git a/projects/ad9783_ebz/zcu102/system_bd.tcl b/projects/ad9783_ebz/zcu102/system_bd.tcl index 0e3f67c21..6d2499076 100755 --- a/projects/ad9783_ebz/zcu102/system_bd.tcl +++ b/projects/ad9783_ebz/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl source ../common/ad9783_ebz_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/ad9783_ebz/zcu102/system_project.tcl b/projects/ad9783_ebz/zcu102/system_project.tcl index 06a4514e8..506840d8a 100755 --- a/projects/ad9783_ebz/zcu102/system_project.tcl +++ b/projects/ad9783_ebz/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad_fmclidar1_ebz/a10soc/system_project.tcl b/projects/ad_fmclidar1_ebz/a10soc/system_project.tcl index a96c5ed48..9141f2b5f 100644 --- a/projects/ad_fmclidar1_ebz/a10soc/system_project.tcl +++ b/projects/ad_fmclidar1_ebz/a10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/ad_fmclidar1_ebz/a10soc/system_qsys.tcl b/projects/ad_fmclidar1_ebz/a10soc/system_qsys.tcl index dc4e80497..4fcfe6124 100644 --- a/projects/ad_fmclidar1_ebz/a10soc/system_qsys.tcl +++ b/projects/ad_fmclidar1_ebz/a10soc/system_qsys.tcl @@ -1,5 +1,9 @@ -# Configurable parameters +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# Configurable parameters set SAMPLE_RATE_MHZ 1000.0 set NUM_OF_CHANNELS 4 ; # M set SAMPLES_PER_FRAME 1 ; # S diff --git a/projects/ad_fmclidar1_ebz/common/ad_fmclidar1_ebz_bd.tcl b/projects/ad_fmclidar1_ebz/common/ad_fmclidar1_ebz_bd.tcl index 2285cfb6d..ab4db3551 100644 --- a/projects/ad_fmclidar1_ebz/common/ad_fmclidar1_ebz_bd.tcl +++ b/projects/ad_fmclidar1_ebz/common/ad_fmclidar1_ebz_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source $ad_hdl_dir/library/jesd204/scripts/jesd204.tcl diff --git a/projects/ad_fmclidar1_ebz/common/ad_fmclidar1_ebz_qsys.tcl b/projects/ad_fmclidar1_ebz/common/ad_fmclidar1_ebz_qsys.tcl index 95457933f..d6eb07cb2 100644 --- a/projects/ad_fmclidar1_ebz/common/ad_fmclidar1_ebz_qsys.tcl +++ b/projects/ad_fmclidar1_ebz/common/ad_fmclidar1_ebz_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # interfaces and IO ports diff --git a/projects/ad_fmclidar1_ebz/zc706/system_bd.tcl b/projects/ad_fmclidar1_ebz/zc706/system_bd.tcl index a4cf4154f..d38d1125a 100644 --- a/projects/ad_fmclidar1_ebz/zc706/system_bd.tcl +++ b/projects/ad_fmclidar1_ebz/zc706/system_bd.tcl @@ -1,5 +1,9 @@ -# Configurable parameters +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# Configurable parameters set SAMPLE_RATE_MHZ 1000.0 set NUM_OF_CHANNELS 4 ; # M set SAMPLES_PER_FRAME 1 ; # S diff --git a/projects/ad_fmclidar1_ebz/zc706/system_project.tcl b/projects/ad_fmclidar1_ebz/zc706/system_project.tcl index 6cb3059ae..07b47d144 100644 --- a/projects/ad_fmclidar1_ebz/zc706/system_project.tcl +++ b/projects/ad_fmclidar1_ebz/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad_fmclidar1_ebz/zcu102/system_bd.tcl b/projects/ad_fmclidar1_ebz/zcu102/system_bd.tcl index a932691a9..16d02ca44 100644 --- a/projects/ad_fmclidar1_ebz/zcu102/system_bd.tcl +++ b/projects/ad_fmclidar1_ebz/zcu102/system_bd.tcl @@ -1,5 +1,9 @@ -# Configurable parameters +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# Configurable parameters set SAMPLE_RATE_MHZ 1000.0 set NUM_OF_CHANNELS 4 ; # M set SAMPLES_PER_FRAME 1 ; # S diff --git a/projects/ad_fmclidar1_ebz/zcu102/system_project.tcl b/projects/ad_fmclidar1_ebz/zcu102/system_project.tcl index 4ba131ad1..ed327a2ff 100644 --- a/projects/ad_fmclidar1_ebz/zcu102/system_project.tcl +++ b/projects/ad_fmclidar1_ebz/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/ad_quadmxfe1_ebz/common/ad_quadmxfe1_ebz_bd.tcl b/projects/ad_quadmxfe1_ebz/common/ad_quadmxfe1_ebz_bd.tcl index 05c0257f3..329ccb267 100644 --- a/projects/ad_quadmxfe1_ebz/common/ad_quadmxfe1_ebz_bd.tcl +++ b/projects/ad_quadmxfe1_ebz/common/ad_quadmxfe1_ebz_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source $ad_hdl_dir/library/jesd204/scripts/jesd204.tcl diff --git a/projects/ad_quadmxfe1_ebz/vcu118/system_bd.tcl b/projects/ad_quadmxfe1_ebz/vcu118/system_bd.tcl index 677b6548b..3d2c50dbb 100644 --- a/projects/ad_quadmxfe1_ebz/vcu118/system_bd.tcl +++ b/projects/ad_quadmxfe1_ebz/vcu118/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## ADC FIFO depth in samples per converter set adc_fifo_samples_per_converter [expr $ad_project_params(RX_KS_PER_CHANNEL)*1024] ## DAC FIFO depth in samples per converter diff --git a/projects/ad_quadmxfe1_ebz/vcu118/system_project.tcl b/projects/ad_quadmxfe1_ebz/vcu118/system_project.tcl index 395c2d409..823d2280c 100644 --- a/projects/ad_quadmxfe1_ebz/vcu118/system_project.tcl +++ b/projects/ad_quadmxfe1_ebz/vcu118/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adaq7980_sdz/common/adaq7980_bd.tcl b/projects/adaq7980_sdz/common/adaq7980_bd.tcl index 44826cabd..ca230640f 100644 --- a/projects/adaq7980_sdz/common/adaq7980_bd.tcl +++ b/projects/adaq7980_sdz/common/adaq7980_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_bd_intf_port -mode Master -vlnv analog.com:interface:spi_master_rtl:1.0 spi diff --git a/projects/adaq7980_sdz/zed/system_bd.tcl b/projects/adaq7980_sdz/zed/system_bd.tcl index 30878fc9a..2a10ae08c 100644 --- a/projects/adaq7980_sdz/zed/system_bd.tcl +++ b/projects/adaq7980_sdz/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adaq7980_sdz/zed/system_project.tcl b/projects/adaq7980_sdz/zed/system_project.tcl index 871ec3ec0..cb50044e1 100644 --- a/projects/adaq7980_sdz/zed/system_project.tcl +++ b/projects/adaq7980_sdz/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adaq8092_fmc/common/adaq8092_bd.tcl b/projects/adaq8092_fmc/common/adaq8092_bd.tcl index 32f714051..4856e7827 100644 --- a/projects/adaq8092_fmc/common/adaq8092_bd.tcl +++ b/projects/adaq8092_fmc/common/adaq8092_bd.tcl @@ -1,5 +1,9 @@ -#adaq8092 +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +#adaq8092 create_bd_port -dir I adc_clk_in_p create_bd_port -dir I adc_clk_in_n diff --git a/projects/adaq8092_fmc/zed/system_bd.tcl b/projects/adaq8092_fmc/zed/system_bd.tcl index 9041035df..8aae6b8c6 100644 --- a/projects/adaq8092_fmc/zed/system_bd.tcl +++ b/projects/adaq8092_fmc/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source ../common/adaq8092_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adaq8092_fmc/zed/system_project.tcl b/projects/adaq8092_fmc/zed/system_project.tcl index d0bbc8b1a..385d4cdfe 100644 --- a/projects/adaq8092_fmc/zed/system_project.tcl +++ b/projects/adaq8092_fmc/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # load script source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl diff --git a/projects/adrv9001/a10soc/system_project.tcl b/projects/adrv9001/a10soc/system_project.tcl index 2b625b95e..e4741169f 100644 --- a/projects/adrv9001/a10soc/system_project.tcl +++ b/projects/adrv9001/a10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/adrv9001/a10soc/system_qsys.tcl b/projects/adrv9001/a10soc/system_qsys.tcl index e5897d930..22033d756 100644 --- a/projects/adrv9001/a10soc/system_qsys.tcl +++ b/projects/adrv9001/a10soc/system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl diff --git a/projects/adrv9001/common/adrv9001_bd.tcl b/projects/adrv9001/common/adrv9001_bd.tcl index 60730e756..741a89daf 100644 --- a/projects/adrv9001/common/adrv9001_bd.tcl +++ b/projects/adrv9001/common/adrv9001_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # create debug ports create_bd_port -dir O adc1_div_clk create_bd_port -dir O adc2_div_clk diff --git a/projects/adrv9001/common/adrv9001_qsys.tcl b/projects/adrv9001/common/adrv9001_qsys.tcl index b8ac6331a..117d2ef64 100644 --- a/projects/adrv9001/common/adrv9001_qsys.tcl +++ b/projects/adrv9001/common/adrv9001_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # adrv9001 diff --git a/projects/adrv9001/zc706/system_bd.tcl b/projects/adrv9001/zc706/system_bd.tcl index 64e39b527..05898cf21 100644 --- a/projects/adrv9001/zc706/system_bd.tcl +++ b/projects/adrv9001/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source ../common/adrv9001_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9001/zc706/system_project.tcl b/projects/adrv9001/zc706/system_project.tcl index 8f7bb84a5..1c2b20e5c 100644 --- a/projects/adrv9001/zc706/system_project.tcl +++ b/projects/adrv9001/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9001/zcu102/system_bd.tcl b/projects/adrv9001/zcu102/system_bd.tcl index d8241628d..efd6f35c1 100644 --- a/projects/adrv9001/zcu102/system_bd.tcl +++ b/projects/adrv9001/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl source ../common/adrv9001_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9001/zcu102/system_project.tcl b/projects/adrv9001/zcu102/system_project.tcl index ef9632010..cb119a561 100644 --- a/projects/adrv9001/zcu102/system_project.tcl +++ b/projects/adrv9001/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9001/zed/system_bd.tcl b/projects/adrv9001/zed/system_bd.tcl index 9b01b26d7..239b05649 100644 --- a/projects/adrv9001/zed/system_bd.tcl +++ b/projects/adrv9001/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source ../common/adrv9001_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9001/zed/system_project.tcl b/projects/adrv9001/zed/system_project.tcl index 3cd4c0e56..2c9922595 100644 --- a/projects/adrv9001/zed/system_project.tcl +++ b/projects/adrv9001/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9009/a10soc/system_project.tcl b/projects/adrv9009/a10soc/system_project.tcl index 643fc90be..ddd92ead6 100755 --- a/projects/adrv9009/a10soc/system_project.tcl +++ b/projects/adrv9009/a10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/adrv9009/a10soc/system_qsys.tcl b/projects/adrv9009/a10soc/system_qsys.tcl index eee3c50de..d57748c1e 100755 --- a/projects/adrv9009/a10soc/system_qsys.tcl +++ b/projects/adrv9009/a10soc/system_qsys.tcl @@ -1,5 +1,9 @@ -set dac_fifo_address_width 10 +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +set dac_fifo_address_width 10 source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl diff --git a/projects/adrv9009/common/adrv9009_bd.tcl b/projects/adrv9009/common/adrv9009_bd.tcl index c2adb2340..530e056fb 100644 --- a/projects/adrv9009/common/adrv9009_bd.tcl +++ b/projects/adrv9009/common/adrv9009_bd.tcl @@ -1,10 +1,14 @@ -# +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # Parameter description: # [TX/RX/RX_OS]_JESD_M : Number of converters per link # [TX/RX/RX_OS]_JESD_L : Number of lanes per link # [TX/RX/RX_OS]_JESD_S : Number of samples per frame # [TX/RX/RX_OS]_JESD_NP : Number of bits per sample -# + set MAX_TX_NUM_OF_LANES 4 set MAX_RX_NUM_OF_LANES 2 set MAX_RX_OS_NUM_OF_LANES 2 diff --git a/projects/adrv9009/common/adrv9009_qsys.tcl b/projects/adrv9009/common/adrv9009_qsys.tcl index a1612c93a..0b4cc7e25 100644 --- a/projects/adrv9009/common/adrv9009_qsys.tcl +++ b/projects/adrv9009/common/adrv9009_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # TX parameters set TX_NUM_OF_LANES 4 ; # L diff --git a/projects/adrv9009/s10soc/system_project.tcl b/projects/adrv9009/s10soc/system_project.tcl index 355f78a22..4f154fb45 100755 --- a/projects/adrv9009/s10soc/system_project.tcl +++ b/projects/adrv9009/s10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/adrv9009/s10soc/system_qsys.tcl b/projects/adrv9009/s10soc/system_qsys.tcl index 40da43cb0..b69807d82 100755 --- a/projects/adrv9009/s10soc/system_qsys.tcl +++ b/projects/adrv9009/s10soc/system_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set dac_fifo_address_width 10 set xcvr_reconfig_addr_width 11 diff --git a/projects/adrv9009/zc706/system_bd.tcl b/projects/adrv9009/zc706/system_bd.tcl index 2ef85ed48..124e80d7f 100644 --- a/projects/adrv9009/zc706/system_bd.tcl +++ b/projects/adrv9009/zc706/system_bd.tcl @@ -1,5 +1,9 @@ -set dac_fifo_address_width 10 +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +set dac_fifo_address_width 10 source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_dacfifo_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9009/zc706/system_project.tcl b/projects/adrv9009/zc706/system_project.tcl index 6f0d502f4..145d2f0fb 100644 --- a/projects/adrv9009/zc706/system_project.tcl +++ b/projects/adrv9009/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9009/zcu102/system_bd.tcl b/projects/adrv9009/zcu102/system_bd.tcl index e55048302..d924ba2ef 100644 --- a/projects/adrv9009/zcu102/system_bd.tcl +++ b/projects/adrv9009/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## FIFO depth is 18Mb - 1M samples set dac_fifo_address_width 17 diff --git a/projects/adrv9009/zcu102/system_project.tcl b/projects/adrv9009/zcu102/system_project.tcl index 20ab5dcfa..f00400197 100644 --- a/projects/adrv9009/zcu102/system_project.tcl +++ b/projects/adrv9009/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9009zu11eg/adrv2crr_fmc/system_bd.tcl b/projects/adrv9009zu11eg/adrv2crr_fmc/system_bd.tcl index 25bc78e1d..5834d154e 100644 --- a/projects/adrv9009zu11eg/adrv2crr_fmc/system_bd.tcl +++ b/projects/adrv9009zu11eg/adrv2crr_fmc/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../common/adrv9009zu11eg_bd.tcl source ../common/adrv2crr_fmc_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9009zu11eg/adrv2crr_fmc/system_project.tcl b/projects/adrv9009zu11eg/adrv2crr_fmc/system_project.tcl index 3ca59cc90..41ee61720 100644 --- a/projects/adrv9009zu11eg/adrv2crr_fmc/system_project.tcl +++ b/projects/adrv9009zu11eg/adrv2crr_fmc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9009zu11eg/adrv2crr_fmcomms8/system_bd.tcl b/projects/adrv9009zu11eg/adrv2crr_fmcomms8/system_bd.tcl index 21035839b..fdcb700f6 100644 --- a/projects/adrv9009zu11eg/adrv2crr_fmcomms8/system_bd.tcl +++ b/projects/adrv9009zu11eg/adrv2crr_fmcomms8/system_bd.tcl @@ -1,5 +1,9 @@ -set FMCOMMS8 1 +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +set FMCOMMS8 1 source ../common/adrv9009zu11eg_bd.tcl source ../common/adrv2crr_fmc_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9009zu11eg/adrv2crr_fmcomms8/system_project.tcl b/projects/adrv9009zu11eg/adrv2crr_fmcomms8/system_project.tcl index cc661dbec..ad52319c7 100644 --- a/projects/adrv9009zu11eg/adrv2crr_fmcomms8/system_project.tcl +++ b/projects/adrv9009zu11eg/adrv2crr_fmcomms8/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_bd.tcl b/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_bd.tcl index e1b6c4ff4..e9d95f545 100755 --- a/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_bd.tcl +++ b/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../common/adrv9009zu11eg_bd.tcl source ../common/adrv2crr_fmc_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_project.tcl b/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_project.tcl index 5130e2b49..e64a60f46 100755 --- a/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_project.tcl +++ b/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9009zu11eg/adrv2crr_xmicrowave/system_bd.tcl b/projects/adrv9009zu11eg/adrv2crr_xmicrowave/system_bd.tcl index 5f4f0f247..d4b502e7f 100755 --- a/projects/adrv9009zu11eg/adrv2crr_xmicrowave/system_bd.tcl +++ b/projects/adrv9009zu11eg/adrv2crr_xmicrowave/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../common/adrv9009zu11eg_bd.tcl source ../common/adrv2crr_fmc_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9009zu11eg/adrv2crr_xmicrowave/system_project.tcl b/projects/adrv9009zu11eg/adrv2crr_xmicrowave/system_project.tcl index c5a7b871b..8750bfb49 100755 --- a/projects/adrv9009zu11eg/adrv2crr_xmicrowave/system_project.tcl +++ b/projects/adrv9009zu11eg/adrv2crr_xmicrowave/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9009zu11eg/common/adrv2crr_fmc_bd.tcl b/projects/adrv9009zu11eg/common/adrv2crr_fmc_bd.tcl index af478f844..1c84a2057 100644 --- a/projects/adrv9009zu11eg/common/adrv2crr_fmc_bd.tcl +++ b/projects/adrv9009zu11eg/common/adrv2crr_fmc_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_bd_port -dir O -type clk i2s_mclk create_bd_intf_port -mode Master -vlnv analog.com:interface:i2s_rtl:1.0 i2s diff --git a/projects/adrv9009zu11eg/common/adrv9009zu11eg_bd.tcl b/projects/adrv9009zu11eg/common/adrv9009zu11eg_bd.tcl index 0cc877aa3..d0ba2d5de 100644 --- a/projects/adrv9009zu11eg/common/adrv9009zu11eg_bd.tcl +++ b/projects/adrv9009zu11eg/common/adrv9009zu11eg_bd.tcl @@ -1,10 +1,15 @@ -# +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + + # Parameter description: # [TX/RX/RX_OS]_JESD_M : Number of converters per link # [TX/RX/RX_OS]_JESD_L : Number of lanes per link # [TX/RX/RX_OS]_JESD_S : Number of samples per frame # [TX/RX/RX_OS]_JESD_NP : Number of bits per sample -# + if {[info exists FMCOMMS8]} { set MAX_TX_NUM_OF_LANES 16 set MAX_RX_NUM_OF_LANES 8 diff --git a/projects/adrv9361z7035/ccbob_cmos/system_bd.tcl b/projects/adrv9361z7035/ccbob_cmos/system_bd.tcl index f3a64b1b7..77fe8a1b1 100644 --- a/projects/adrv9361z7035/ccbob_cmos/system_bd.tcl +++ b/projects/adrv9361z7035/ccbob_cmos/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../common/adrv9361z7035_bd.tcl source ../common/ccbob_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9361z7035/ccbob_cmos/system_project.tcl b/projects/adrv9361z7035/ccbob_cmos/system_project.tcl index 3d1fa58aa..0866e0338 100644 --- a/projects/adrv9361z7035/ccbob_cmos/system_project.tcl +++ b/projects/adrv9361z7035/ccbob_cmos/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9361z7035/ccbob_lvds/system_bd.tcl b/projects/adrv9361z7035/ccbob_lvds/system_bd.tcl index 1eab98cba..59b98781a 100644 --- a/projects/adrv9361z7035/ccbob_lvds/system_bd.tcl +++ b/projects/adrv9361z7035/ccbob_lvds/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../common/adrv9361z7035_bd.tcl source ../common/ccbob_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9361z7035/ccbob_lvds/system_project.tcl b/projects/adrv9361z7035/ccbob_lvds/system_project.tcl index d12ee8192..48c00cfaf 100644 --- a/projects/adrv9361z7035/ccbob_lvds/system_project.tcl +++ b/projects/adrv9361z7035/ccbob_lvds/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9361z7035/ccfmc_lvds/system_bd.tcl b/projects/adrv9361z7035/ccfmc_lvds/system_bd.tcl index 149fa0dd6..7634b52d1 100644 --- a/projects/adrv9361z7035/ccfmc_lvds/system_bd.tcl +++ b/projects/adrv9361z7035/ccfmc_lvds/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../common/adrv9361z7035_bd.tcl source ../common/ccfmc_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9361z7035/ccfmc_lvds/system_project.tcl b/projects/adrv9361z7035/ccfmc_lvds/system_project.tcl index 530e39eac..0c838d86a 100644 --- a/projects/adrv9361z7035/ccfmc_lvds/system_project.tcl +++ b/projects/adrv9361z7035/ccfmc_lvds/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9361z7035/ccpackrf_lvds/system_bd.tcl b/projects/adrv9361z7035/ccpackrf_lvds/system_bd.tcl index 801db4261..371e7ae1d 100644 --- a/projects/adrv9361z7035/ccpackrf_lvds/system_bd.tcl +++ b/projects/adrv9361z7035/ccpackrf_lvds/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../common/adrv9361z7035_bd.tcl source ../common/ccpackrf_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9361z7035/ccpackrf_lvds/system_project.tcl b/projects/adrv9361z7035/ccpackrf_lvds/system_project.tcl index 2ecf41885..b36667bfe 100644 --- a/projects/adrv9361z7035/ccpackrf_lvds/system_project.tcl +++ b/projects/adrv9361z7035/ccpackrf_lvds/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9361z7035/common/adrv9361z7035_bd.tcl b/projects/adrv9361z7035/common/adrv9361z7035_bd.tcl index a4886d7b1..4eaed95f7 100644 --- a/projects/adrv9361z7035/common/adrv9361z7035_bd.tcl +++ b/projects/adrv9361z7035/common/adrv9361z7035_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # default ports diff --git a/projects/adrv9361z7035/common/ccbob_bd.tcl b/projects/adrv9361z7035/common/ccbob_bd.tcl index 67b4e6e70..117a6cf07 100644 --- a/projects/adrv9361z7035/common/ccbob_bd.tcl +++ b/projects/adrv9361z7035/common/ccbob_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # lbfmc diff --git a/projects/adrv9361z7035/common/ccfmc_bd.tcl b/projects/adrv9361z7035/common/ccfmc_bd.tcl index c9d66053c..cc0865fde 100644 --- a/projects/adrv9361z7035/common/ccfmc_bd.tcl +++ b/projects/adrv9361z7035/common/ccfmc_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # default ports diff --git a/projects/adrv9361z7035/common/ccpackrf_bd.tcl b/projects/adrv9361z7035/common/ccpackrf_bd.tcl index 9f114ad66..dfa3cf3af 100644 --- a/projects/adrv9361z7035/common/ccpackrf_bd.tcl +++ b/projects/adrv9361z7035/common/ccpackrf_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # unused diff --git a/projects/adrv9364z7020/ccbob_cmos/system_bd.tcl b/projects/adrv9364z7020/ccbob_cmos/system_bd.tcl index 8ab085870..019b45f80 100644 --- a/projects/adrv9364z7020/ccbob_cmos/system_bd.tcl +++ b/projects/adrv9364z7020/ccbob_cmos/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../common/adrv9364z7020_bd.tcl source ../common/ccbob_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9364z7020/ccbob_cmos/system_project.tcl b/projects/adrv9364z7020/ccbob_cmos/system_project.tcl index 99d0b1bc4..446eeda6a 100644 --- a/projects/adrv9364z7020/ccbob_cmos/system_project.tcl +++ b/projects/adrv9364z7020/ccbob_cmos/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9364z7020/ccbob_lvds/system_bd.tcl b/projects/adrv9364z7020/ccbob_lvds/system_bd.tcl index c1f95639d..4b6cd253d 100644 --- a/projects/adrv9364z7020/ccbob_lvds/system_bd.tcl +++ b/projects/adrv9364z7020/ccbob_lvds/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../common/adrv9364z7020_bd.tcl source ../common/ccbob_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9364z7020/ccbob_lvds/system_project.tcl b/projects/adrv9364z7020/ccbob_lvds/system_project.tcl index 3d16a64dd..6f2e9e5e4 100644 --- a/projects/adrv9364z7020/ccbob_lvds/system_project.tcl +++ b/projects/adrv9364z7020/ccbob_lvds/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9364z7020/ccpackrf_lvds/system_bd.tcl b/projects/adrv9364z7020/ccpackrf_lvds/system_bd.tcl index 479656201..8b258d954 100644 --- a/projects/adrv9364z7020/ccpackrf_lvds/system_bd.tcl +++ b/projects/adrv9364z7020/ccpackrf_lvds/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../common/adrv9364z7020_bd.tcl source ../common/ccpackrf_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9364z7020/ccpackrf_lvds/system_project.tcl b/projects/adrv9364z7020/ccpackrf_lvds/system_project.tcl index 97370c82b..70554ff30 100644 --- a/projects/adrv9364z7020/ccpackrf_lvds/system_project.tcl +++ b/projects/adrv9364z7020/ccpackrf_lvds/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9364z7020/common/adrv9364z7020_bd.tcl b/projects/adrv9364z7020/common/adrv9364z7020_bd.tcl index 56f6dfdce..3e29d45b2 100644 --- a/projects/adrv9364z7020/common/adrv9364z7020_bd.tcl +++ b/projects/adrv9364z7020/common/adrv9364z7020_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # default ports diff --git a/projects/adrv9364z7020/common/ccbob_bd.tcl b/projects/adrv9364z7020/common/ccbob_bd.tcl index 16086371f..c1d03d763 100644 --- a/projects/adrv9364z7020/common/ccbob_bd.tcl +++ b/projects/adrv9364z7020/common/ccbob_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # lbfmc diff --git a/projects/adrv9364z7020/common/ccpackrf_bd.tcl b/projects/adrv9364z7020/common/ccpackrf_bd.tcl index 9f114ad66..dfa3cf3af 100644 --- a/projects/adrv9364z7020/common/ccpackrf_bd.tcl +++ b/projects/adrv9364z7020/common/ccpackrf_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # unused diff --git a/projects/adrv9371x/a10soc/system_project.tcl b/projects/adrv9371x/a10soc/system_project.tcl index a413981bf..af957fae5 100644 --- a/projects/adrv9371x/a10soc/system_project.tcl +++ b/projects/adrv9371x/a10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/adrv9371x/a10soc/system_qsys.tcl b/projects/adrv9371x/a10soc/system_qsys.tcl index 88c354e91..750f0e4d4 100644 --- a/projects/adrv9371x/a10soc/system_qsys.tcl +++ b/projects/adrv9371x/a10soc/system_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set dac_fifo_address_width 10 diff --git a/projects/adrv9371x/common/adrv9371x_bd.tcl b/projects/adrv9371x/common/adrv9371x_bd.tcl index e10371d41..c86f80eb2 100644 --- a/projects/adrv9371x/common/adrv9371x_bd.tcl +++ b/projects/adrv9371x/common/adrv9371x_bd.tcl @@ -1,10 +1,15 @@ -# +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + + # Parameter description: # [TX/RX/RX_OS]_JESD_M : Number of converters per link # [TX/RX/RX_OS]_JESD_L : Number of lanes per link # [TX/RX/RX_OS]_JESD_S : Number of samples per frame # [TX/RX/RX_OS]_JESD_NP : Number of bits per sample -# + set MAX_TX_NUM_OF_LANES 4 set MAX_RX_NUM_OF_LANES 2 set MAX_RX_OS_NUM_OF_LANES 2 diff --git a/projects/adrv9371x/common/adrv9371x_qsys.tcl b/projects/adrv9371x/common/adrv9371x_qsys.tcl index d34898362..06205bda3 100644 --- a/projects/adrv9371x/common/adrv9371x_qsys.tcl +++ b/projects/adrv9371x/common/adrv9371x_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set dac_fifo_name avl_ad9371_tx_fifo set dac_data_width 128 set dac_dma_data_width 128 diff --git a/projects/adrv9371x/kcu105/system_bd.tcl b/projects/adrv9371x/kcu105/system_bd.tcl index be1efe8e8..2f94a9ad9 100644 --- a/projects/adrv9371x/kcu105/system_bd.tcl +++ b/projects/adrv9371x/kcu105/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## FIFO depth is 8Mb - 500k samples set dac_fifo_address_width 16 diff --git a/projects/adrv9371x/kcu105/system_project.tcl b/projects/adrv9371x/kcu105/system_project.tcl index 684dbc6d7..e19cd7d10 100644 --- a/projects/adrv9371x/kcu105/system_project.tcl +++ b/projects/adrv9371x/kcu105/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9371x/zc706/system_bd.tcl b/projects/adrv9371x/zc706/system_bd.tcl index 5cc68bc2a..890f170c0 100644 --- a/projects/adrv9371x/zc706/system_bd.tcl +++ b/projects/adrv9371x/zc706/system_bd.tcl @@ -1,5 +1,9 @@ -set dac_fifo_address_width 10 +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +set dac_fifo_address_width 10 source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_dacfifo_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adrv9371x/zc706/system_project.tcl b/projects/adrv9371x/zc706/system_project.tcl index 88182869d..3db6672ac 100644 --- a/projects/adrv9371x/zc706/system_project.tcl +++ b/projects/adrv9371x/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adrv9371x/zcu102/system_bd.tcl b/projects/adrv9371x/zcu102/system_bd.tcl index 334d5c538..2596f9f7c 100644 --- a/projects/adrv9371x/zcu102/system_bd.tcl +++ b/projects/adrv9371x/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## FIFO depth is 16Mb - 1M samples set dac_fifo_address_width 17 diff --git a/projects/adrv9371x/zcu102/system_project.tcl b/projects/adrv9371x/zcu102/system_project.tcl index 08c3bab7b..e0f1ae342 100644 --- a/projects/adrv9371x/zcu102/system_project.tcl +++ b/projects/adrv9371x/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adv7511/zc702/system_bd.tcl b/projects/adv7511/zc702/system_bd.tcl index 38d861795..984507568 100644 --- a/projects/adv7511/zc702/system_bd.tcl +++ b/projects/adv7511/zc702/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc702/zc702_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adv7511/zc702/system_project.tcl b/projects/adv7511/zc702/system_project.tcl index de20af946..7c961ffba 100644 --- a/projects/adv7511/zc702/system_project.tcl +++ b/projects/adv7511/zc702/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adv7511/zc706/system_bd.tcl b/projects/adv7511/zc706/system_bd.tcl index 587d70587..0bb426519 100644 --- a/projects/adv7511/zc706/system_bd.tcl +++ b/projects/adv7511/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adv7511/zc706/system_project.tcl b/projects/adv7511/zc706/system_project.tcl index 64bf25eba..826c8f4e5 100644 --- a/projects/adv7511/zc706/system_project.tcl +++ b/projects/adv7511/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adv7511/zed/system_bd.tcl b/projects/adv7511/zed/system_bd.tcl index d6cc9cc22..c0bd6ef33 100644 --- a/projects/adv7511/zed/system_bd.tcl +++ b/projects/adv7511/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/adv7511/zed/system_project.tcl b/projects/adv7511/zed/system_project.tcl index 82f2d6652..a0ba5db7c 100644 --- a/projects/adv7511/zed/system_project.tcl +++ b/projects/adv7511/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/adv7513/de10nano/system_project.tcl b/projects/adv7513/de10nano/system_project.tcl index 6e31ddc51..99754d21f 100644 --- a/projects/adv7513/de10nano/system_project.tcl +++ b/projects/adv7513/de10nano/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set REQUIRED_QUARTUS_VERSION 22.1std.0 set QUARTUS_PRO_ISUSED 0 source ../../../scripts/adi_env.tcl diff --git a/projects/adv7513/de10nano/system_qsys.tcl b/projects/adv7513/de10nano/system_qsys.tcl index ec0aa0102..913edc170 100644 --- a/projects/adv7513/de10nano/system_qsys.tcl +++ b/projects/adv7513/de10nano/system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/de10nano/de10nano_system_qsys.tcl diff --git a/projects/arradio/c5soc/system_project.tcl b/projects/arradio/c5soc/system_project.tcl index 15b1f12a4..030c6c178 100644 --- a/projects/arradio/c5soc/system_project.tcl +++ b/projects/arradio/c5soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set REQUIRED_QUARTUS_VERSION 22.1std.0 set QUARTUS_PRO_ISUSED 0 source ../../../scripts/adi_env.tcl diff --git a/projects/arradio/c5soc/system_qsys.tcl b/projects/arradio/c5soc/system_qsys.tcl index 35dd617eb..d9cf12b6d 100644 --- a/projects/arradio/c5soc/system_qsys.tcl +++ b/projects/arradio/c5soc/system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/c5soc/c5soc_system_qsys.tcl diff --git a/projects/arradio/common/arradio_qsys.tcl b/projects/arradio/common/arradio_qsys.tcl index 149582d10..201d3c466 100644 --- a/projects/arradio/common/arradio_qsys.tcl +++ b/projects/arradio/common/arradio_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9361 diff --git a/projects/cn0363/common/cn0363_bd.tcl b/projects/cn0363/common/cn0363_bd.tcl index 5cadb8a7e..2246b5448 100644 --- a/projects/cn0363/common/cn0363_bd.tcl +++ b/projects/cn0363/common/cn0363_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + proc load_fir_filter_vector {filter_file} { set fp [open $filter_file r] set data [split [read $fp] "\n"] diff --git a/projects/cn0363/zed/system_bd.tcl b/projects/cn0363/zed/system_bd.tcl index 646a5e1aa..d5dd27e6c 100644 --- a/projects/cn0363/zed/system_bd.tcl +++ b/projects/cn0363/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source ../common/cn0363_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/cn0363/zed/system_project.tcl b/projects/cn0363/zed/system_project.tcl index 7fa547a91..b9733dc63 100644 --- a/projects/cn0363/zed/system_project.tcl +++ b/projects/cn0363/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/cn0501/common/cn0501_bd.tcl b/projects/cn0501/common/cn0501_bd.tcl index e9e19a573..4ad07ca07 100644 --- a/projects/cn0501/common/cn0501_bd.tcl +++ b/projects/cn0501/common/cn0501_bd.tcl @@ -1,5 +1,9 @@ -# ad7768 interface +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ad7768 interface create_bd_port -dir I clk_in create_bd_port -dir I ready_in create_bd_port -dir I -from 7 -to 0 data_in diff --git a/projects/cn0501/coraz7s/system_bd.tcl b/projects/cn0501/coraz7s/system_bd.tcl index 16130b0c2..fcad89e10 100644 --- a/projects/cn0501/coraz7s/system_bd.tcl +++ b/projects/cn0501/coraz7s/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/coraz7s/coraz7s_system_bd.tcl source ../common/cn0501_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/cn0501/coraz7s/system_project.tcl b/projects/cn0501/coraz7s/system_project.tcl index 65cbf6aa6..13394ba71 100644 --- a/projects/cn0501/coraz7s/system_project.tcl +++ b/projects/cn0501/coraz7s/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # load script source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl diff --git a/projects/cn0506/a10soc/system_project.tcl b/projects/cn0506/a10soc/system_project.tcl index b3e1a7dab..9667807d7 100644 --- a/projects/cn0506/a10soc/system_project.tcl +++ b/projects/cn0506/a10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/cn0506/a10soc/system_qsys.tcl b/projects/cn0506/a10soc/system_qsys.tcl index 25360ce63..7aeeaa231 100644 --- a/projects/cn0506/a10soc/system_qsys.tcl +++ b/projects/cn0506/a10soc/system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl diff --git a/projects/cn0506/common/mii_bd.tcl b/projects/cn0506/common/mii_bd.tcl index afdfd00e4..6a9958670 100644 --- a/projects/cn0506/common/mii_bd.tcl +++ b/projects/cn0506/common/mii_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_bd_port -dir O reset diff --git a/projects/cn0506/common/rgmii_bd.tcl b/projects/cn0506/common/rgmii_bd.tcl index d9531eb8a..297b2ad48 100644 --- a/projects/cn0506/common/rgmii_bd.tcl +++ b/projects/cn0506/common/rgmii_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_bd_port -dir I ref_clk_125 create_bd_port -dir O reset diff --git a/projects/cn0506/zc706/system_bd.tcl b/projects/cn0506/zc706/system_bd.tcl index 8d176597b..0f070613b 100644 --- a/projects/cn0506/zc706/system_bd.tcl +++ b/projects/cn0506/zc706/system_bd.tcl @@ -1,5 +1,9 @@ -source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl ##-------------------------------------------------------------- # IMPORTANT: Set CN0506 interface mode # diff --git a/projects/cn0506/zc706/system_constr.tcl b/projects/cn0506/zc706/system_constr.tcl index d895b50d1..b8687d0bb 100644 --- a/projects/cn0506/zc706/system_constr.tcl +++ b/projects/cn0506/zc706/system_constr.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property -dict {PACKAGE_PIN AJ16 IOSTANDARD LVCMOS25 PULLUP true} [get_ports mdio_fmc_a] ; ## H16 FMC_LPC_LA11_P set_property -dict {PACKAGE_PIN AK16 IOSTANDARD LVCMOS25} [get_ports mdc_fmc_a] ; ## H17 FMC_LPC_LA11_N diff --git a/projects/cn0506/zc706/system_project.tcl b/projects/cn0506/zc706/system_project.tcl index afc1e9d39..130fa84eb 100644 --- a/projects/cn0506/zc706/system_project.tcl +++ b/projects/cn0506/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/cn0506/zcu102/system_bd.tcl b/projects/cn0506/zcu102/system_bd.tcl index 51c764a17..46ed4c515 100644 --- a/projects/cn0506/zcu102/system_bd.tcl +++ b/projects/cn0506/zcu102/system_bd.tcl @@ -1,5 +1,9 @@ -source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl ##-------------------------------------------------------------- # IMPORTANT: Set CN0506 interface mode # diff --git a/projects/cn0506/zcu102/system_constr.tcl b/projects/cn0506/zcu102/system_constr.tcl index e3fc514ab..c061a2a39 100644 --- a/projects/cn0506/zcu102/system_constr.tcl +++ b/projects/cn0506/zcu102/system_constr.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN AE8 IOSTANDARD LVCMOS18 PULLUP true} [get_ports mdio_fmc_a] ; ## H16 FMC_HPC1_LA11_P set_property -dict {PACKAGE_PIN AF8 IOSTANDARD LVCMOS18} [get_ports mdc_fmc_a] ; ## H17 FMC_HPC1_LA11_N set_property -dict {PACKAGE_PIN AD10 IOSTANDARD LVCMOS18} [get_ports reset_a] ; ## H19 FMC_HPC1_LA15_P diff --git a/projects/cn0506/zcu102/system_project.tcl b/projects/cn0506/zcu102/system_project.tcl index 9082dd81f..84e5e91ae 100644 --- a/projects/cn0506/zcu102/system_project.tcl +++ b/projects/cn0506/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/cn0506/zed/system_bd.tcl b/projects/cn0506/zed/system_bd.tcl index c4b1982d4..90a6d6d59 100644 --- a/projects/cn0506/zed/system_bd.tcl +++ b/projects/cn0506/zed/system_bd.tcl @@ -1,5 +1,9 @@ -source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl ##-------------------------------------------------------------- # IMPORTANT: Set CN0506 interface mode # diff --git a/projects/cn0506/zed/system_constr.tcl b/projects/cn0506/zed/system_constr.tcl index 13dcb0ac0..8b7883b89 100644 --- a/projects/cn0506/zed/system_constr.tcl +++ b/projects/cn0506/zed/system_constr.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS25 PULLUP true} [get_ports mdio_fmc_a] ; ## H16 FMC_LPC_LA11_P set_property -dict {PACKAGE_PIN N18 IOSTANDARD LVCMOS25} [get_ports mdc_fmc_a] ; ## H17 FMC_LPC_LA11_N diff --git a/projects/cn0506/zed/system_project.tcl b/projects/cn0506/zed/system_project.tcl index e80dc4b2a..8d2212d15 100644 --- a/projects/cn0506/zed/system_project.tcl +++ b/projects/cn0506/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/cn0540/common/cn0540_bd.tcl b/projects/cn0540/common/cn0540_bd.tcl index f6b6194e1..3bb8fc861 100755 --- a/projects/cn0540/common/cn0540_bd.tcl +++ b/projects/cn0540/common/cn0540_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_bd_intf_port -mode Master -vlnv analog.com:interface:spi_master_rtl:1.0 adc_spi create_bd_intf_port -mode Master -vlnv xilinx.com:interface:iic_rtl:1.0 iic_cn0540 diff --git a/projects/cn0540/common/cn0540_qsys.tcl b/projects/cn0540/common/cn0540_qsys.tcl index dcc864d8e..ef82251d9 100755 --- a/projects/cn0540/common/cn0540_qsys.tcl +++ b/projects/cn0540/common/cn0540_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # receive dma diff --git a/projects/cn0540/coraz7s/system_bd.tcl b/projects/cn0540/coraz7s/system_bd.tcl index 022e0a191..474383700 100755 --- a/projects/cn0540/coraz7s/system_bd.tcl +++ b/projects/cn0540/coraz7s/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/coraz7s/coraz7s_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/cn0540/coraz7s/system_project.tcl b/projects/cn0540/coraz7s/system_project.tcl index 658fea864..8a6b9b1b9 100755 --- a/projects/cn0540/coraz7s/system_project.tcl +++ b/projects/cn0540/coraz7s/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/cn0540/de10nano/system_project.tcl b/projects/cn0540/de10nano/system_project.tcl index 8b9d36399..136b3b786 100755 --- a/projects/cn0540/de10nano/system_project.tcl +++ b/projects/cn0540/de10nano/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set REQUIRED_QUARTUS_VERSION 22.1std.0 set QUARTUS_PRO_ISUSED 0 source ../../../scripts/adi_env.tcl diff --git a/projects/cn0540/de10nano/system_qsys.tcl b/projects/cn0540/de10nano/system_qsys.tcl index e4d6b3c2e..ce1fe79ef 100755 --- a/projects/cn0540/de10nano/system_qsys.tcl +++ b/projects/cn0540/de10nano/system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/de10nano/de10nano_system_qsys.tcl diff --git a/projects/cn0561/common/cn0561_bd.tcl b/projects/cn0561/common/cn0561_bd.tcl index 6538213f3..231cb51b1 100644 --- a/projects/cn0561/common/cn0561_bd.tcl +++ b/projects/cn0561/common/cn0561_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + create_bd_intf_port -mode Master -vlnv analog.com:interface:spi_master_rtl:1.0 cn0561_di create_bd_port -dir O cn0561_odr diff --git a/projects/cn0561/common/cn0561_qsys.tcl b/projects/cn0561/common/cn0561_qsys.tcl index edf7c636b..79d837e6c 100644 --- a/projects/cn0561/common/cn0561_qsys.tcl +++ b/projects/cn0561/common/cn0561_qsys.tcl @@ -1,5 +1,9 @@ -# receive dma +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# receive dma add_instance axi_dmac_0 axi_dmac set_instance_parameter_value axi_dmac_0 {DMA_TYPE_SRC} {1} set_instance_parameter_value axi_dmac_0 {DMA_TYPE_DEST} {0} diff --git a/projects/cn0561/coraz7s/system_bd.tcl b/projects/cn0561/coraz7s/system_bd.tcl index 14567184a..c0d0fab0b 100644 --- a/projects/cn0561/coraz7s/system_bd.tcl +++ b/projects/cn0561/coraz7s/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/coraz7s/coraz7s_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/cn0561/coraz7s/system_project.tcl b/projects/cn0561/coraz7s/system_project.tcl index e797f5f8b..baeccbc7e 100644 --- a/projects/cn0561/coraz7s/system_project.tcl +++ b/projects/cn0561/coraz7s/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/cn0561/de10nano/system_project.tcl b/projects/cn0561/de10nano/system_project.tcl index bb46d95d9..0e77041e2 100644 --- a/projects/cn0561/de10nano/system_project.tcl +++ b/projects/cn0561/de10nano/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set REQUIRED_QUARTUS_VERSION 22.1std.0 set QUARTUS_PRO_ISUSED 0 diff --git a/projects/cn0561/de10nano/system_qsys.tcl b/projects/cn0561/de10nano/system_qsys.tcl index 1b6d68103..42b40d359 100644 --- a/projects/cn0561/de10nano/system_qsys.tcl +++ b/projects/cn0561/de10nano/system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/de10nano/de10nano_system_qsys.tcl source ../common/cn0561_qsys.tcl diff --git a/projects/cn0561/zed/system_bd.tcl b/projects/cn0561/zed/system_bd.tcl index d8bb0c7f8..e43525f44 100755 --- a/projects/cn0561/zed/system_bd.tcl +++ b/projects/cn0561/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/cn0561/zed/system_project.tcl b/projects/cn0561/zed/system_project.tcl index 0a6b35ced..fdbdae5d7 100755 --- a/projects/cn0561/zed/system_project.tcl +++ b/projects/cn0561/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/cn0577/common/cn0577_bd.tcl b/projects/cn0577/common/cn0577_bd.tcl index 340dd4b20..2a6f609b0 100644 --- a/projects/cn0577/common/cn0577_bd.tcl +++ b/projects/cn0577/common/cn0577_bd.tcl @@ -1,5 +1,9 @@ -# ltc2387 +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ltc2387 create_bd_port -dir I ref_clk create_bd_port -dir O sampling_clk create_bd_port -dir I dco_p diff --git a/projects/cn0577/zed/system_bd.tcl b/projects/cn0577/zed/system_bd.tcl index d2bd038f3..9aab6abda 100644 --- a/projects/cn0577/zed/system_bd.tcl +++ b/projects/cn0577/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # specify number of channels - the design supports one lane/two lanes set two_lanes 1 diff --git a/projects/cn0577/zed/system_project.tcl b/projects/cn0577/zed/system_project.tcl index 52107bdee..d4273fb7e 100644 --- a/projects/cn0577/zed/system_project.tcl +++ b/projects/cn0577/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # load script source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl diff --git a/projects/cn0579/common/cn0579_bd.tcl b/projects/cn0579/common/cn0579_bd.tcl index daa00bdb7..594c968cb 100644 --- a/projects/cn0579/common/cn0579_bd.tcl +++ b/projects/cn0579/common/cn0579_bd.tcl @@ -1,5 +1,9 @@ -create_bd_intf_port -mode Master -vlnv xilinx.com:interface:iic_rtl:1.0 iic_dac +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +create_bd_intf_port -mode Master -vlnv xilinx.com:interface:iic_rtl:1.0 iic_dac # ad7768-4 interface create_bd_port -dir I clk_in diff --git a/projects/cn0579/common/cn0579_qsys.tcl b/projects/cn0579/common/cn0579_qsys.tcl index 7c619e92d..e70bebc09 100644 --- a/projects/cn0579/common/cn0579_qsys.tcl +++ b/projects/cn0579/common/cn0579_qsys.tcl @@ -1,5 +1,9 @@ -# ad77684 +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ad77684 add_instance axi_ad77684_adc axi_ad7768 set_instance_parameter_value axi_ad77684_adc {NUM_CHANNELS} {4} add_interface if_clk_in_bd conduit end diff --git a/projects/cn0579/coraz7s/system_bd.tcl b/projects/cn0579/coraz7s/system_bd.tcl index 0e1b2817c..172342043 100644 --- a/projects/cn0579/coraz7s/system_bd.tcl +++ b/projects/cn0579/coraz7s/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/coraz7s/coraz7s_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/cn0579/coraz7s/system_project.tcl b/projects/cn0579/coraz7s/system_project.tcl index 36e7eaafd..ff23a6be5 100644 --- a/projects/cn0579/coraz7s/system_project.tcl +++ b/projects/cn0579/coraz7s/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/cn0579/de10nano/system_project.tcl b/projects/cn0579/de10nano/system_project.tcl index 0051eddf9..6501ee2e5 100644 --- a/projects/cn0579/de10nano/system_project.tcl +++ b/projects/cn0579/de10nano/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set REQUIRED_QUARTUS_VERSION 22.1std.0 set QUARTUS_PRO_ISUSED 0 source ../../../scripts/adi_env.tcl diff --git a/projects/cn0579/de10nano/system_qsys.tcl b/projects/cn0579/de10nano/system_qsys.tcl index 12310c27f..a11a19bd0 100644 --- a/projects/cn0579/de10nano/system_qsys.tcl +++ b/projects/cn0579/de10nano/system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/de10nano/de10nano_system_qsys.tcl diff --git a/projects/common/a10gx/a10gx_system_assign.tcl b/projects/common/a10gx/a10gx_system_assign.tcl index 9ac9c3fc1..605c8a5fe 100644 --- a/projects/common/a10gx/a10gx_system_assign.tcl +++ b/projects/common/a10gx/a10gx_system_assign.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # a10gx carrier defaults # clocks and resets diff --git a/projects/common/a10gx/a10gx_system_qsys.tcl b/projects/common/a10gx/a10gx_system_qsys.tcl index c3d039f67..9f67d9f13 100644 --- a/projects/common/a10gx/a10gx_system_qsys.tcl +++ b/projects/common/a10gx/a10gx_system_qsys.tcl @@ -1,5 +1,9 @@ -# a10gx carrier qsys +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# a10gx carrier qsys set system_type nios # clock-&-reset diff --git a/projects/common/a10gx/system_project.tcl b/projects/common/a10gx/system_project.tcl index d71b051b9..22099b210 100755 --- a/projects/common/a10gx/system_project.tcl +++ b/projects/common/a10gx/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/common/a10gx/system_qsys.tcl b/projects/common/a10gx/system_qsys.tcl index 6c360ff2e..303ec281f 100755 --- a/projects/common/a10gx/system_qsys.tcl +++ b/projects/common/a10gx/system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl diff --git a/projects/common/a10soc/a10soc_plddr4_assign.tcl b/projects/common/a10soc/a10soc_plddr4_assign.tcl index 524477924..8f839f294 100644 --- a/projects/common/a10soc/a10soc_plddr4_assign.tcl +++ b/projects/common/a10soc/a10soc_plddr4_assign.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # pl-ddr4 settings diff --git a/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl b/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl index 051786d71..72e58ddf5 100644 --- a/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl +++ b/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl @@ -1,5 +1,9 @@ -proc ad_dacfifo_create {dac_fifo_name dac_data_width dac_dma_data_width dac_fifo_address_width} { +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +proc ad_dacfifo_create {dac_fifo_name dac_data_width dac_dma_data_width dac_fifo_address_width} { # pl-ddr4 settings add_instance sys_ddr4_cntrl altera_emif diff --git a/projects/common/a10soc/a10soc_system_assign.tcl b/projects/common/a10soc/a10soc_system_assign.tcl index 6ce0cc26f..266d7bd88 100644 --- a/projects/common/a10soc/a10soc_system_assign.tcl +++ b/projects/common/a10soc/a10soc_system_assign.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # a10soc carrier defaults # clocks and resets diff --git a/projects/common/a10soc/a10soc_system_qsys.tcl b/projects/common/a10soc/a10soc_system_qsys.tcl index b69da169a..6df72041e 100644 --- a/projects/common/a10soc/a10soc_system_qsys.tcl +++ b/projects/common/a10soc/a10soc_system_qsys.tcl @@ -1,5 +1,9 @@ -# a10soc carrier qsys +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# a10soc carrier qsys set system_type a10soc # clock-&-reset diff --git a/projects/common/a10soc/system_project.tcl b/projects/common/a10soc/system_project.tcl index acbe557c9..62d323126 100755 --- a/projects/common/a10soc/system_project.tcl +++ b/projects/common/a10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/common/a10soc/system_qsys.tcl b/projects/common/a10soc/system_qsys.tcl index 4751abfe0..bc71cf786 100755 --- a/projects/common/a10soc/system_qsys.tcl +++ b/projects/common/a10soc/system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl diff --git a/projects/common/ac701/ac701_system_bd.tcl b/projects/common/ac701/ac701_system_bd.tcl index aec9b4508..746b1e080 100644 --- a/projects/common/ac701/ac701_system_bd.tcl +++ b/projects/common/ac701/ac701_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # interface ports diff --git a/projects/common/ac701/system_bd.tcl b/projects/common/ac701/system_bd.tcl index 4a27187fa..4f7065e9b 100755 --- a/projects/common/ac701/system_bd.tcl +++ b/projects/common/ac701/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/ac701/ac701_system_bd.tcl diff --git a/projects/common/ac701/system_project.tcl b/projects/common/ac701/system_project.tcl index 59419ea4d..00de5945d 100755 --- a/projects/common/ac701/system_project.tcl +++ b/projects/common/ac701/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/c5soc/c5soc_system_assign.tcl b/projects/common/c5soc/c5soc_system_assign.tcl index 2bdae54cb..c18e99910 100644 --- a/projects/common/c5soc/c5soc_system_assign.tcl +++ b/projects/common/c5soc/c5soc_system_assign.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # c5soc carrier defaults # clocks diff --git a/projects/common/c5soc/c5soc_system_qsys.tcl b/projects/common/c5soc/c5soc_system_qsys.tcl index f9fdad728..1258a48f4 100644 --- a/projects/common/c5soc/c5soc_system_qsys.tcl +++ b/projects/common/c5soc/c5soc_system_qsys.tcl @@ -1,5 +1,9 @@ -# c5soc carrier qsys +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# c5soc carrier qsys set system_type c5soc # system clock diff --git a/projects/common/c5soc/system_project.tcl b/projects/common/c5soc/system_project.tcl index 8b774ada8..e90a27375 100755 --- a/projects/common/c5soc/system_project.tcl +++ b/projects/common/c5soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set REQUIRED_QUARTUS_VERSION 22.1std.0 set QUARTUS_PRO_ISUSED 0 source ../../../scripts/adi_env.tcl diff --git a/projects/common/c5soc/system_qsys.tcl b/projects/common/c5soc/system_qsys.tcl index 29d4bb9a5..aff7c4683 100755 --- a/projects/common/c5soc/system_qsys.tcl +++ b/projects/common/c5soc/system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/c5soc/c5soc_system_qsys.tcl diff --git a/projects/common/coraz7s/coraz7s_system_bd.tcl b/projects/common/coraz7s/coraz7s_system_bd.tcl index 66692ffaf..deb3a6727 100644 --- a/projects/common/coraz7s/coraz7s_system_bd.tcl +++ b/projects/common/coraz7s/coraz7s_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # interface ports diff --git a/projects/common/coraz7s/coraz7s_system_ps7.tcl b/projects/common/coraz7s/coraz7s_system_ps7.tcl index 598056440..49b0d3083 100644 --- a/projects/common/coraz7s/coraz7s_system_ps7.tcl +++ b/projects/common/coraz7s/coraz7s_system_ps7.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ad_ip_parameter sys_ps7 CONFIG.PCW_ACT_APU_PERIPHERAL_FREQMHZ {650.000000} ad_ip_parameter sys_ps7 CONFIG.PCW_ACT_DCI_PERIPHERAL_FREQMHZ {10.096154} ad_ip_parameter sys_ps7 CONFIG.PCW_ACT_ENET0_PERIPHERAL_FREQMHZ {125.000000} diff --git a/projects/common/coraz7s/system_bd.tcl b/projects/common/coraz7s/system_bd.tcl index a54f10ff9..ab314d571 100755 --- a/projects/common/coraz7s/system_bd.tcl +++ b/projects/common/coraz7s/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/coraz7s/coraz7s_system_bd.tcl diff --git a/projects/common/coraz7s/system_project.tcl b/projects/common/coraz7s/system_project.tcl index df7af52b1..b26452570 100755 --- a/projects/common/coraz7s/system_project.tcl +++ b/projects/common/coraz7s/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/de10nano/de10nano_system_assign.tcl b/projects/common/de10nano/de10nano_system_assign.tcl index 964bdf588..e771ec417 100644 --- a/projects/common/de10nano/de10nano_system_assign.tcl +++ b/projects/common/de10nano/de10nano_system_assign.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # de10nano # clocks (V11, Y13, E11 - PL 50MHz) # clocks (E20, D20 - HPS 25MHz) diff --git a/projects/common/de10nano/de10nano_system_qsys.tcl b/projects/common/de10nano/de10nano_system_qsys.tcl index 5ad789d20..4f8174f35 100644 --- a/projects/common/de10nano/de10nano_system_qsys.tcl +++ b/projects/common/de10nano/de10nano_system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # de10nano carrier qsys # system clock diff --git a/projects/common/de10nano/system_project.tcl b/projects/common/de10nano/system_project.tcl index 64182f074..0500ec288 100644 --- a/projects/common/de10nano/system_project.tcl +++ b/projects/common/de10nano/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set REQUIRED_QUARTUS_VERSION 22.1std.0 set QUARTUS_PRO_ISUSED 0 source ../../../scripts/adi_env.tcl diff --git a/projects/common/de10nano/system_qsys.tcl b/projects/common/de10nano/system_qsys.tcl index c2d78aab5..688d1088b 100755 --- a/projects/common/de10nano/system_qsys.tcl +++ b/projects/common/de10nano/system_qsys.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/de10nano/de10nano_system_qsys.tcl diff --git a/projects/common/intel/adcfifo_qsys.tcl b/projects/common/intel/adcfifo_qsys.tcl index f392015d2..29b121cac 100644 --- a/projects/common/intel/adcfifo_qsys.tcl +++ b/projects/common/intel/adcfifo_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### proc ad_adcfifo_create {adc_fifo_name adc_data_width dma_data_width adc_fifo_address_width} { diff --git a/projects/common/intel/dacfifo_qsys.tcl b/projects/common/intel/dacfifo_qsys.tcl index 742189b37..aa5bdd8b3 100644 --- a/projects/common/intel/dacfifo_qsys.tcl +++ b/projects/common/intel/dacfifo_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### proc ad_dacfifo_create {dac_fifo_name dac_data_width dac_dma_data_width dac_fifo_address_width} { diff --git a/projects/common/intel/sys_gen.tcl b/projects/common/intel/sys_gen.tcl index 2dc523883..49a3ba498 100644 --- a/projects/common/intel/sys_gen.tcl +++ b/projects/common/intel/sys_gen.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # globals diff --git a/projects/common/kc705/kc705_system_bd.tcl b/projects/common/kc705/kc705_system_bd.tcl index 47063355c..d05c41cb4 100644 --- a/projects/common/kc705/kc705_system_bd.tcl +++ b/projects/common/kc705/kc705_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # interface ports diff --git a/projects/common/kc705/system_bd.tcl b/projects/common/kc705/system_bd.tcl index 4b844c3f5..d0d107a64 100755 --- a/projects/common/kc705/system_bd.tcl +++ b/projects/common/kc705/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/kc705/kc705_system_bd.tcl diff --git a/projects/common/kc705/system_project.tcl b/projects/common/kc705/system_project.tcl index ad2ef6aac..3ce7f7464 100755 --- a/projects/common/kc705/system_project.tcl +++ b/projects/common/kc705/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/kcu105/kcu105_system_bd.tcl b/projects/common/kcu105/kcu105_system_bd.tcl index 14f3c3224..09f2609d2 100644 --- a/projects/common/kcu105/kcu105_system_bd.tcl +++ b/projects/common/kcu105/kcu105_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # interface ports diff --git a/projects/common/kcu105/kcu105_system_mig.tcl b/projects/common/kcu105/kcu105_system_mig.tcl index 9d789685d..981d37f54 100644 --- a/projects/common/kcu105/kcu105_system_mig.tcl +++ b/projects/common/kcu105/kcu105_system_mig.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ddr controller RevD diff --git a/projects/common/kcu105/system_bd.tcl b/projects/common/kcu105/system_bd.tcl index 8cd287ac3..03c8447cc 100755 --- a/projects/common/kcu105/system_bd.tcl +++ b/projects/common/kcu105/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/kcu105/kcu105_system_bd.tcl diff --git a/projects/common/kcu105/system_project.tcl b/projects/common/kcu105/system_project.tcl index 6bf2dc826..26618d109 100755 --- a/projects/common/kcu105/system_project.tcl +++ b/projects/common/kcu105/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/kv260/kv260_system_bd.tcl b/projects/common/kv260/kv260_system_bd.tcl index e428d119f..5dd357fff 100644 --- a/projects/common/kv260/kv260_system_bd.tcl +++ b/projects/common/kv260/kv260_system_bd.tcl @@ -1,5 +1,9 @@ -# create board design +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# create board design # set Kria SOM240_1 connector to KV260 evaluation carrier set_property board_connections {som240_1_connector xilinx.com:kv260_carrier:som240_1_connector:1.3} [current_project] diff --git a/projects/common/kv260/system_bd.tcl b/projects/common/kv260/system_bd.tcl index c49635ab1..4be600477 100644 --- a/projects/common/kv260/system_bd.tcl +++ b/projects/common/kv260/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/kv260/kv260_system_bd.tcl diff --git a/projects/common/kv260/system_project.tcl b/projects/common/kv260/system_project.tcl index a9b6e321e..b72b1fcb0 100644 --- a/projects/common/kv260/system_project.tcl +++ b/projects/common/kv260/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/microzed/microzed_system_bd.tcl b/projects/common/microzed/microzed_system_bd.tcl index f029755dd..337475715 100644 --- a/projects/common/microzed/microzed_system_bd.tcl +++ b/projects/common/microzed/microzed_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # interface ports diff --git a/projects/common/microzed/microzed_system_ps7.tcl b/projects/common/microzed/microzed_system_ps7.tcl index 3b6f2e6be..1e049370d 100644 --- a/projects/common/microzed/microzed_system_ps7.tcl +++ b/projects/common/microzed/microzed_system_ps7.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### ad_ip_parameter sys_ps7 CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 3.3V} ad_ip_parameter sys_ps7 CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} diff --git a/projects/common/s10soc/s10soc_system_assign.tcl b/projects/common/s10soc/s10soc_system_assign.tcl index dadc606e6..050e364a2 100644 --- a/projects/common/s10soc/s10soc_system_assign.tcl +++ b/projects/common/s10soc/s10soc_system_assign.tcl @@ -1,5 +1,9 @@ -# stratix10soc carrier defaults +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# stratix10soc carrier defaults # clocks and resets set_location_assignment PIN_AW10 -to sys_clk ; ## 100 MHz diff --git a/projects/common/s10soc/s10soc_system_qsys.tcl b/projects/common/s10soc/s10soc_system_qsys.tcl index e36196665..4d5525868 100644 --- a/projects/common/s10soc/s10soc_system_qsys.tcl +++ b/projects/common/s10soc/s10soc_system_qsys.tcl @@ -1,5 +1,9 @@ -# stratix10soc carrier qsys +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# stratix10soc carrier qsys set system_type s10soc diff --git a/projects/common/s10soc/system_project.tcl b/projects/common/s10soc/system_project.tcl index 9f275c280..6da33b74e 100755 --- a/projects/common/s10soc/system_project.tcl +++ b/projects/common/s10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/common/s10soc/system_qsys.tcl b/projects/common/s10soc/system_qsys.tcl index 468a9c8e5..d7b7df3e0 100755 --- a/projects/common/s10soc/system_qsys.tcl +++ b/projects/common/s10soc/system_qsys.tcl @@ -1 +1,6 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/s10soc/s10soc_system_qsys.tcl diff --git a/projects/common/vc707/system_bd.tcl b/projects/common/vc707/system_bd.tcl index 26fb72e70..e672ab3cc 100755 --- a/projects/common/vc707/system_bd.tcl +++ b/projects/common/vc707/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/vc707/vc707_system_bd.tcl diff --git a/projects/common/vc707/system_project.tcl b/projects/common/vc707/system_project.tcl index d2e769aa0..7a4a81de2 100755 --- a/projects/common/vc707/system_project.tcl +++ b/projects/common/vc707/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/vc707/vc707_system_bd.tcl b/projects/common/vc707/vc707_system_bd.tcl index 17353c0c3..b9f731afb 100644 --- a/projects/common/vc707/vc707_system_bd.tcl +++ b/projects/common/vc707/vc707_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_bd_port -dir I -type rst sys_rst create_bd_port -dir I sys_clk_p diff --git a/projects/common/vc709/system_bd.tcl b/projects/common/vc709/system_bd.tcl index 6ab6f831e..4b279997e 100755 --- a/projects/common/vc709/system_bd.tcl +++ b/projects/common/vc709/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/vc709/vc709_system_bd.tcl diff --git a/projects/common/vc709/system_project.tcl b/projects/common/vc709/system_project.tcl index c9fea37cb..006c5e8f0 100755 --- a/projects/common/vc709/system_project.tcl +++ b/projects/common/vc709/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/vc709/vc709_system_bd.tcl b/projects/common/vc709/vc709_system_bd.tcl index 03de976b2..7f5c96064 100644 --- a/projects/common/vc709/vc709_system_bd.tcl +++ b/projects/common/vc709/vc709_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_bd_port -dir I -type rst sys_rst create_bd_port -dir I sys_clk_p diff --git a/projects/common/vck190/system_bd.tcl b/projects/common/vck190/system_bd.tcl index a47bb0c79..8ede4a979 100755 --- a/projects/common/vck190/system_bd.tcl +++ b/projects/common/vck190/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/vck190/vck190_system_bd.tcl diff --git a/projects/common/vck190/system_project.tcl b/projects/common/vck190/system_project.tcl index 7322cadbb..05db7dcfc 100755 --- a/projects/common/vck190/system_project.tcl +++ b/projects/common/vck190/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/vck190/vck190_system_bd.tcl b/projects/common/vck190/vck190_system_bd.tcl index a7388b631..6c8c10dc7 100644 --- a/projects/common/vck190/vck190_system_bd.tcl +++ b/projects/common/vck190/vck190_system_bd.tcl @@ -1 +1,6 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/vmk180/vmk180_system_bd.tcl diff --git a/projects/common/vcu118/system_bd.tcl b/projects/common/vcu118/system_bd.tcl index 52d1d7d44..12b2a2b8d 100755 --- a/projects/common/vcu118/system_bd.tcl +++ b/projects/common/vcu118/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/vcu118/vcu118_system_bd.tcl diff --git a/projects/common/vcu118/system_project.tcl b/projects/common/vcu118/system_project.tcl index 358ae3964..e50c40131 100755 --- a/projects/common/vcu118/system_project.tcl +++ b/projects/common/vcu118/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/vcu118/vcu118_plddr4_adcfifo_bd.tcl b/projects/common/vcu118/vcu118_plddr4_adcfifo_bd.tcl index 95eee2746..41aea2d86 100644 --- a/projects/common/vcu118/vcu118_plddr4_adcfifo_bd.tcl +++ b/projects/common/vcu118/vcu118_plddr4_adcfifo_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### proc ad_adcfifo_create {adc_fifo_name adc_data_width adc_dma_data_width adc_fifo_address_width} { diff --git a/projects/common/vcu118/vcu118_plddr4_dacfifo_bd.tcl b/projects/common/vcu118/vcu118_plddr4_dacfifo_bd.tcl index 89ca21e29..ea57d0e55 100644 --- a/projects/common/vcu118/vcu118_plddr4_dacfifo_bd.tcl +++ b/projects/common/vcu118/vcu118_plddr4_dacfifo_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### proc ad_dacfifo_create {dac_fifo_name dac_data_width dac_dma_data_width dac_fifo_address_width} { diff --git a/projects/common/vcu118/vcu118_system_bd.tcl b/projects/common/vcu118/vcu118_system_bd.tcl index a9474b8b4..855a24e3a 100644 --- a/projects/common/vcu118/vcu118_system_bd.tcl +++ b/projects/common/vcu118/vcu118_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # interface ports diff --git a/projects/common/vcu128/system_bd.tcl b/projects/common/vcu128/system_bd.tcl index b71649f74..81e8704fb 100755 --- a/projects/common/vcu128/system_bd.tcl +++ b/projects/common/vcu128/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/vcu128/vcu128_system_bd.tcl diff --git a/projects/common/vcu128/system_project.tcl b/projects/common/vcu128/system_project.tcl index 7406ffea8..979dd668f 100755 --- a/projects/common/vcu128/system_project.tcl +++ b/projects/common/vcu128/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/vcu128/vcu128_system_bd.tcl b/projects/common/vcu128/vcu128_system_bd.tcl index 78522c1c0..9d308066d 100644 --- a/projects/common/vcu128/vcu128_system_bd.tcl +++ b/projects/common/vcu128/vcu128_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # interface ports diff --git a/projects/common/vmk180/system_bd.tcl b/projects/common/vmk180/system_bd.tcl index 01823032f..43db6ae54 100755 --- a/projects/common/vmk180/system_bd.tcl +++ b/projects/common/vmk180/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/vmk180/vmk180_system_bd.tcl diff --git a/projects/common/vmk180/system_project.tcl b/projects/common/vmk180/system_project.tcl index 0420cb0a7..9ab152614 100755 --- a/projects/common/vmk180/system_project.tcl +++ b/projects/common/vmk180/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/vmk180/vmk180_system_bd.tcl b/projects/common/vmk180/vmk180_system_bd.tcl index c6f18d25b..2566b577b 100644 --- a/projects/common/vmk180/vmk180_system_bd.tcl +++ b/projects/common/vmk180/vmk180_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # default ports diff --git a/projects/common/vmk180_es1/vmk180_es1_system_bd.tcl b/projects/common/vmk180_es1/vmk180_es1_system_bd.tcl index 0461e6e3f..6c8c10dc7 100644 --- a/projects/common/vmk180_es1/vmk180_es1_system_bd.tcl +++ b/projects/common/vmk180_es1/vmk180_es1_system_bd.tcl @@ -1,2 +1,6 @@ -source $ad_hdl_dir/projects/common/vmk180/vmk180_system_bd.tcl +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +source $ad_hdl_dir/projects/common/vmk180/vmk180_system_bd.tcl diff --git a/projects/common/xilinx/adcfifo_bd.tcl b/projects/common/xilinx/adcfifo_bd.tcl index 3de6b191c..329d773a5 100644 --- a/projects/common/xilinx/adcfifo_bd.tcl +++ b/projects/common/xilinx/adcfifo_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # sys bram (use only when dma is not capable of keeping up). # generic fifo interface - existence is oblivious to software. diff --git a/projects/common/xilinx/adi_fir_filter_bd.tcl b/projects/common/xilinx/adi_fir_filter_bd.tcl index dbce5418a..6091f313c 100644 --- a/projects/common/xilinx/adi_fir_filter_bd.tcl +++ b/projects/common/xilinx/adi_fir_filter_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### ################################################################################################### ################################################################################################### diff --git a/projects/common/xilinx/adi_xilinx_ila.tcl b/projects/common/xilinx/adi_xilinx_ila.tcl index 986a6fb3e..4c8340518 100644 --- a/projects/common/xilinx/adi_xilinx_ila.tcl +++ b/projects/common/xilinx/adi_xilinx_ila.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## Instantiate Xilinx Virtual Cable debug bridge # This procedure will instantiate the two debug bridges that make # up the XVC logic, and hook them up to the main CPU interconnect diff --git a/projects/common/xilinx/dacfifo_bd.tcl b/projects/common/xilinx/dacfifo_bd.tcl index 808d27a91..ead8996ea 100644 --- a/projects/common/xilinx/dacfifo_bd.tcl +++ b/projects/common/xilinx/dacfifo_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # sys bram (use only when dma is not capable of keeping up). # generic fifo interface - existence is oblivious to software. proc ad_dacfifo_create {dac_fifo_name dac_data_width dac_dma_data_width dac_fifo_address_width} { diff --git a/projects/common/xilinx/data_offload_bd.tcl b/projects/common/xilinx/data_offload_bd.tcl index 56221cb6f..b70db0fbd 100644 --- a/projects/common/xilinx/data_offload_bd.tcl +++ b/projects/common/xilinx/data_offload_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### proc ad_data_offload_create {instance_name datapath_type diff --git a/projects/common/zc702/system_bd.tcl b/projects/common/zc702/system_bd.tcl index 5dfc48ac4..a810ca953 100755 --- a/projects/common/zc702/system_bd.tcl +++ b/projects/common/zc702/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/zc702/zc702_system_bd.tcl diff --git a/projects/common/zc702/system_project.tcl b/projects/common/zc702/system_project.tcl index 5c5327c53..dfc3f8617 100755 --- a/projects/common/zc702/system_project.tcl +++ b/projects/common/zc702/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/zc702/zc702_system_bd.tcl b/projects/common/zc702/zc702_system_bd.tcl index 1f6fd237a..0029eb8cb 100644 --- a/projects/common/zc702/zc702_system_bd.tcl +++ b/projects/common/zc702/zc702_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # interface ports diff --git a/projects/common/zc706/system_bd.tcl b/projects/common/zc706/system_bd.tcl index 483f54d33..d00441851 100755 --- a/projects/common/zc706/system_bd.tcl +++ b/projects/common/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl diff --git a/projects/common/zc706/system_project.tcl b/projects/common/zc706/system_project.tcl index 57386642a..981487683 100755 --- a/projects/common/zc706/system_project.tcl +++ b/projects/common/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl b/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl index 5c4081f19..b48962d38 100644 --- a/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl +++ b/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # pl ddr3 (use only when dma is not capable of keeping up). # generic fifo interface - existence is oblivious to software. diff --git a/projects/common/zc706/zc706_plddr3_dacfifo_bd.tcl b/projects/common/zc706/zc706_plddr3_dacfifo_bd.tcl index a16f8f233..9fe4b32f2 100644 --- a/projects/common/zc706/zc706_plddr3_dacfifo_bd.tcl +++ b/projects/common/zc706/zc706_plddr3_dacfifo_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # pl ddr3 (use only when dma is not capable of keeping up). # generic fifo interface - existence is oblivious to software. diff --git a/projects/common/zc706/zc706_system_bd.tcl b/projects/common/zc706/zc706_system_bd.tcl index 66f119da2..94ad2981e 100644 --- a/projects/common/zc706/zc706_system_bd.tcl +++ b/projects/common/zc706/zc706_system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # create board design # default ports diff --git a/projects/common/zcu102/system_bd.tcl b/projects/common/zcu102/system_bd.tcl index 78dfa56da..91ce66048 100755 --- a/projects/common/zcu102/system_bd.tcl +++ b/projects/common/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl diff --git a/projects/common/zcu102/system_project.tcl b/projects/common/zcu102/system_project.tcl index 6920e5bdf..bfb92f0f5 100755 --- a/projects/common/zcu102/system_project.tcl +++ b/projects/common/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/zcu102/zcu102_system_bd.tcl b/projects/common/zcu102/zcu102_system_bd.tcl index 30c6e1d02..c3ded4ac1 100644 --- a/projects/common/zcu102/zcu102_system_bd.tcl +++ b/projects/common/zcu102/zcu102_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # default ports diff --git a/projects/common/zed/system_bd.tcl b/projects/common/zed/system_bd.tcl index 50b4113e4..990d5faf9 100755 --- a/projects/common/zed/system_bd.tcl +++ b/projects/common/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl diff --git a/projects/common/zed/system_project.tcl b/projects/common/zed/system_project.tcl index cdd99080a..bf91e4590 100755 --- a/projects/common/zed/system_project.tcl +++ b/projects/common/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/common/zed/zed_system_bd.tcl b/projects/common/zed/zed_system_bd.tcl index 79ec583ad..ad5587db5 100755 --- a/projects/common/zed/zed_system_bd.tcl +++ b/projects/common/zed/zed_system_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # create board design # interface ports diff --git a/projects/dac_fmc_ebz/a10soc/system_project.tcl b/projects/dac_fmc_ebz/a10soc/system_project.tcl index a7933ff26..a8af2756e 100644 --- a/projects/dac_fmc_ebz/a10soc/system_project.tcl +++ b/projects/dac_fmc_ebz/a10soc/system_project.tcl @@ -1,34 +1,8 @@ -# -# Copyright 2018 (c) Analog Devices, Inc. All rights reserved. -# -# In this HDL repository, there are many different and unique modules, consisting -# of various HDL (Verilog or VHDL) components. The individual modules are -# developed independently, and may be accompanied by separate and unique license -# terms. -# -# The user should read each of these license terms, and understand the -# freedoms and responsibilities that he or she has by using this source/core. -# -# This core is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -# A PARTICULAR PURPOSE. -# -# Redistribution and use of source or resulting binaries, with or without modification -# of this file, are permitted under one of the following two license terms: -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory -# of this repository (LICENSE_GPL2), and also online at: -# -# -# OR -# -# 2. An ADI specific BSD license, which can be found in the top level directory -# of this repository (LICENSE_ADIBSD), and also on-line at: -# https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -# This will allow to generate bit files and not release the source code, -# as long as it attaches to an ADI device. -# +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/dac_fmc_ebz/a10soc/system_qsys.tcl b/projects/dac_fmc_ebz/a10soc/system_qsys.tcl index 8179fd2f8..3761f4fd9 100644 --- a/projects/dac_fmc_ebz/a10soc/system_qsys.tcl +++ b/projects/dac_fmc_ebz/a10soc/system_qsys.tcl @@ -1,34 +1,7 @@ -# -# Copyright 2018 (c) Analog Devices, Inc. All rights reserved. -# -# In this HDL repository, there are many different and unique modules, consisting -# of various HDL (Verilog or VHDL) components. The individual modules are -# developed independently, and may be accompanied by separate and unique license -# terms. -# -# The user should read each of these license terms, and understand the -# freedoms and responsibilities that he or she has by using this source/core. -# -# This core is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -# A PARTICULAR PURPOSE. -# -# Redistribution and use of source or resulting binaries, with or without modification -# of this file, are permitted under one of the following two license terms: -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory -# of this repository (LICENSE_GPL2), and also online at: -# -# -# OR -# -# 2. An ADI specific BSD license, which can be found in the top level directory -# of this repository (LICENSE_ADIBSD), and also on-line at: -# https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -# This will allow to generate bit files and not release the source code, -# as long as it attaches to an ADI device. -# +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set dac_fifo_address_width 13 diff --git a/projects/dac_fmc_ebz/common/config.tcl b/projects/dac_fmc_ebz/common/config.tcl index 8769618e6..ebc744920 100644 --- a/projects/dac_fmc_ebz/common/config.tcl +++ b/projects/dac_fmc_ebz/common/config.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # Select the device and mode you want the project synthesise for, by setting the # ADI_DAC_DEVICE and ADI_DAC_MODE environment variables: # diff --git a/projects/dac_fmc_ebz/common/dac_fmc_ebz_bd.tcl b/projects/dac_fmc_ebz/common/dac_fmc_ebz_bd.tcl index b1103b473..b8b767825 100644 --- a/projects/dac_fmc_ebz/common/dac_fmc_ebz_bd.tcl +++ b/projects/dac_fmc_ebz/common/dac_fmc_ebz_bd.tcl @@ -1,37 +1,7 @@ -# *************************************************************************** -# *************************************************************************** -# Copyright 2018 (c) Analog Devices, Inc. All rights reserved. -# -# In this HDL repository, there are many different and unique modules, consisting -# of various HDL (Verilog or VHDL) components. The individual modules are -# developed independently, and may be accompanied by separate and unique license -# terms. -# -# The user should read each of these license terms, and understand the -# freedoms and responsibilities that he or she has by using this source/core. -# -# This core is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -# A PARTICULAR PURPOSE. -# -# Redistribution and use of source or resulting binaries, with or without modification -# of this file, are permitted under one of the following two license terms: -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory -# of this repository (LICENSE_GPL2), and also online at: -# -# -# OR -# -# 2. An ADI specific BSD license, which can be found in the top level directory -# of this repository (LICENSE_ADIBSD), and also on-line at: -# https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -# This will allow to generate bit files and not release the source code, -# as long as it attaches to an ADI device. -# -# *************************************************************************** -# *************************************************************************** +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source $ad_hdl_dir/library/jesd204/scripts/jesd204.tcl diff --git a/projects/dac_fmc_ebz/common/dac_fmc_ebz_qsys.tcl b/projects/dac_fmc_ebz/common/dac_fmc_ebz_qsys.tcl index 973ba840c..fab512def 100644 --- a/projects/dac_fmc_ebz/common/dac_fmc_ebz_qsys.tcl +++ b/projects/dac_fmc_ebz/common/dac_fmc_ebz_qsys.tcl @@ -1,40 +1,14 @@ -# -# Copyright 2018 (c) Analog Devices, Inc. All rights reserved. -# -# In this HDL repository, there are many different and unique modules, consisting -# of various HDL (Verilog or VHDL) components. The individual modules are -# developed independently, and may be accompanied by separate and unique license -# terms. -# -# The user should read each of these license terms, and understand the -# freedoms and responsibilities that he or she has by using this source/core. -# -# This core is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -# A PARTICULAR PURPOSE. -# -# Redistribution and use of source or resulting binaries, with or without modification -# of this file, are permitted under one of the following two license terms: -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory -# of this repository (LICENSE_GPL2), and also online at: -# -# -# OR -# -# 2. An ADI specific BSD license, which can be found in the top level directory -# of this repository (LICENSE_ADIBSD), and also on-line at: -# https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -# This will allow to generate bit files and not release the source code, -# as long as it attaches to an ADI device. -# +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### -# This design supports multiple device in multiple modes. Some of them have a -# higher lane rate, some of htem have a lower lane rate. +# +# This design supports multiple devices in multiple modes. Some of them have a +# higher lane rate, some of them have a lower lane rate. # # If you are building the design for a specific part in a specific mode and the -# lane rate is less than the maximum specified here you can reduce it, which +# lane rate is less than the maximum specified, here you can reduce it, which # might improve timing closure. set MODE $ad_project_params(MODE) diff --git a/projects/dac_fmc_ebz/vcu118/system_bd.tcl b/projects/dac_fmc_ebz/vcu118/system_bd.tcl index 48d8d0c6d..aa0ebc487 100755 --- a/projects/dac_fmc_ebz/vcu118/system_bd.tcl +++ b/projects/dac_fmc_ebz/vcu118/system_bd.tcl @@ -1,5 +1,9 @@ -set dac_fifo_address_width 14 +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +set dac_fifo_address_width 14 source $ad_hdl_dir/projects/common/vcu118/vcu118_system_bd.tcl source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl source ../common/dac_fmc_ebz_bd.tcl diff --git a/projects/dac_fmc_ebz/vcu118/system_project.tcl b/projects/dac_fmc_ebz/vcu118/system_project.tcl index 39d2c4caf..06da04c5f 100755 --- a/projects/dac_fmc_ebz/vcu118/system_project.tcl +++ b/projects/dac_fmc_ebz/vcu118/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/dac_fmc_ebz/zc706/system_bd.tcl b/projects/dac_fmc_ebz/zc706/system_bd.tcl index caba859e4..668a067b2 100644 --- a/projects/dac_fmc_ebz/zc706/system_bd.tcl +++ b/projects/dac_fmc_ebz/zc706/system_bd.tcl @@ -1,37 +1,7 @@ -# *************************************************************************** -# *************************************************************************** -# Copyright 2018 (c) Analog Devices, Inc. All rights reserved. -# -# In this HDL repository, there are many different and unique modules, consisting -# of various HDL (Verilog or VHDL) components. The individual modules are -# developed independently, and may be accompanied by separate and unique license -# terms. -# -# The user should read each of these license terms, and understand the -# freedoms and responsibilities that he or she has by using this source/core. -# -# This core is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -# A PARTICULAR PURPOSE. -# -# Redistribution and use of source or resulting binaries, with or without modification -# of this file, are permitted under one of the following two license terms: -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory -# of this repository (LICENSE_GPL2), and also online at: -# -# -# OR -# -# 2. An ADI specific BSD license, which can be found in the top level directory -# of this repository (LICENSE_ADIBSD), and also on-line at: -# https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -# This will allow to generate bit files and not release the source code, -# as long as it attaches to an ADI device. -# -# *************************************************************************** -# *************************************************************************** +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set dac_fifo_address_width 14 diff --git a/projects/dac_fmc_ebz/zc706/system_project.tcl b/projects/dac_fmc_ebz/zc706/system_project.tcl index abb120424..1cdf86072 100644 --- a/projects/dac_fmc_ebz/zc706/system_project.tcl +++ b/projects/dac_fmc_ebz/zc706/system_project.tcl @@ -1,37 +1,8 @@ -# *************************************************************************** -# *************************************************************************** -# Copyright 2018 (c) Analog Devices, Inc. All rights reserved. -# -# In this HDL repository, there are many different and unique modules, consisting -# of various HDL (Verilog or VHDL) components. The individual modules are -# developed independently, and may be accompanied by separate and unique license -# terms. -# -# The user should read each of these license terms, and understand the -# freedoms and responsibilities that he or she has by using this source/core. -# -# This core is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -# A PARTICULAR PURPOSE. -# -# Redistribution and use of source or resulting binaries, with or without modification -# of this file, are permitted under one of the following two license terms: -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory -# of this repository (LICENSE_GPL2), and also online at: -# -# -# OR -# -# 2. An ADI specific BSD license, which can be found in the top level directory -# of this repository (LICENSE_ADIBSD), and also on-line at: -# https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -# This will allow to generate bit files and not release the source code, -# as long as it attaches to an ADI device. -# -# *************************************************************************** -# *************************************************************************** +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/dac_fmc_ebz/zcu102/system_bd.tcl b/projects/dac_fmc_ebz/zcu102/system_bd.tcl index a32bf734f..b981c99a5 100644 --- a/projects/dac_fmc_ebz/zcu102/system_bd.tcl +++ b/projects/dac_fmc_ebz/zcu102/system_bd.tcl @@ -1,5 +1,9 @@ -set dac_fifo_address_width 13 +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +set dac_fifo_address_width 13 source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl source ../common/dac_fmc_ebz_bd.tcl diff --git a/projects/dac_fmc_ebz/zcu102/system_project.tcl b/projects/dac_fmc_ebz/zcu102/system_project.tcl index 7682c5854..cbaebc2fc 100644 --- a/projects/dac_fmc_ebz/zcu102/system_project.tcl +++ b/projects/dac_fmc_ebz/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/daq2/a10soc/system_project.tcl b/projects/daq2/a10soc/system_project.tcl index 317fd5eab..b7fc65df9 100644 --- a/projects/daq2/a10soc/system_project.tcl +++ b/projects/daq2/a10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/daq2/a10soc/system_qsys.tcl b/projects/daq2/a10soc/system_qsys.tcl index 2f66be6e2..d01861afd 100644 --- a/projects/daq2/a10soc/system_qsys.tcl +++ b/projects/daq2/a10soc/system_qsys.tcl @@ -1,5 +1,9 @@ -set dac_fifo_address_width 10 +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +set dac_fifo_address_width 10 source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl diff --git a/projects/daq2/common/daq2_bd.tcl b/projects/daq2/common/daq2_bd.tcl index 97ff1c009..7564f7840 100644 --- a/projects/daq2/common/daq2_bd.tcl +++ b/projects/daq2/common/daq2_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # # Parameter description: # [RX/TX]_JESD_M : Number of converters per link diff --git a/projects/daq2/common/daq2_qsys.tcl b/projects/daq2/common/daq2_qsys.tcl index 17e85fed2..e5be7241e 100644 --- a/projects/daq2/common/daq2_qsys.tcl +++ b/projects/daq2/common/daq2_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # JESD204B attributes diff --git a/projects/daq2/kc705/system_bd.tcl b/projects/daq2/kc705/system_bd.tcl index f80365451..f9fe32ff8 100644 --- a/projects/daq2/kc705/system_bd.tcl +++ b/projects/daq2/kc705/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## Offload attributes set adc_offload_type 0 set adc_offload_size [expr 512 * 1024] diff --git a/projects/daq2/kc705/system_project.tcl b/projects/daq2/kc705/system_project.tcl index 26804bc98..e561303e5 100644 --- a/projects/daq2/kc705/system_project.tcl +++ b/projects/daq2/kc705/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/daq2/kcu105/system_bd.tcl b/projects/daq2/kcu105/system_bd.tcl index 8165201fb..d64480408 100644 --- a/projects/daq2/kcu105/system_bd.tcl +++ b/projects/daq2/kcu105/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## Offload attributes set adc_offload_type 0 set adc_offload_size [expr 512 * 1024] diff --git a/projects/daq2/kcu105/system_project.tcl b/projects/daq2/kcu105/system_project.tcl index e1b85a635..9284e4652 100644 --- a/projects/daq2/kcu105/system_project.tcl +++ b/projects/daq2/kcu105/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/daq2/zc706/system_bd.tcl b/projects/daq2/zc706/system_bd.tcl index 16650046b..dbec6bfad 100644 --- a/projects/daq2/zc706/system_bd.tcl +++ b/projects/daq2/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## Offload attributes set adc_offload_type 1 ; ## PL_DDR set adc_offload_size [expr 1 * 1024 * 1024 * 1024] ; ## 1 Gbyte diff --git a/projects/daq2/zc706/system_project.tcl b/projects/daq2/zc706/system_project.tcl index eda8487b5..21f32519c 100644 --- a/projects/daq2/zc706/system_project.tcl +++ b/projects/daq2/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/daq2/zcu102/system_bd.tcl b/projects/daq2/zcu102/system_bd.tcl index 26f27e334..3f18d34e9 100644 --- a/projects/daq2/zcu102/system_bd.tcl +++ b/projects/daq2/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## Offload attributes set adc_offload_type 0 set adc_offload_size [expr 1 * 1024 * 1024] diff --git a/projects/daq2/zcu102/system_project.tcl b/projects/daq2/zcu102/system_project.tcl index 0f99b85b1..cdc8af46c 100644 --- a/projects/daq2/zcu102/system_project.tcl +++ b/projects/daq2/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/daq3/common/daq3_bd.tcl b/projects/daq3/common/daq3_bd.tcl index 6e3d45bc5..7abc56737 100644 --- a/projects/daq3/common/daq3_bd.tcl +++ b/projects/daq3/common/daq3_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # # Parameter description: # [RX/TX]_JESD_M : Number of converters per link diff --git a/projects/daq3/kcu105/system_bd.tcl b/projects/daq3/kcu105/system_bd.tcl index 45fa146d5..079b422e6 100644 --- a/projects/daq3/kcu105/system_bd.tcl +++ b/projects/daq3/kcu105/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## FIFO depth is 4Mb - 250k samples set adc_fifo_address_width 16 diff --git a/projects/daq3/kcu105/system_project.tcl b/projects/daq3/kcu105/system_project.tcl index 90e901320..d9c1efb1a 100644 --- a/projects/daq3/kcu105/system_project.tcl +++ b/projects/daq3/kcu105/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/daq3/vcu118/system_bd.tcl b/projects/daq3/vcu118/system_bd.tcl index 31355e7f0..c5f280c67 100644 --- a/projects/daq3/vcu118/system_bd.tcl +++ b/projects/daq3/vcu118/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## FIFO depth is 4Mb - 250k samples set adc_fifo_address_width 16 diff --git a/projects/daq3/vcu118/system_project.tcl b/projects/daq3/vcu118/system_project.tcl index 7b114673b..3323ca564 100644 --- a/projects/daq3/vcu118/system_project.tcl +++ b/projects/daq3/vcu118/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/daq3/zc706/system_bd.tcl b/projects/daq3/zc706/system_bd.tcl index 046a652e9..b1c7c0ad8 100644 --- a/projects/daq3/zc706/system_bd.tcl +++ b/projects/daq3/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## FIFO depth is 1GB, PL_DDR is used set adc_fifo_address_width 16 diff --git a/projects/daq3/zc706/system_project.tcl b/projects/daq3/zc706/system_project.tcl index cc9e4eb1a..b387c9cf0 100644 --- a/projects/daq3/zc706/system_project.tcl +++ b/projects/daq3/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/daq3/zcu102/system_bd.tcl b/projects/daq3/zcu102/system_bd.tcl index dc8babe93..5a388015e 100644 --- a/projects/daq3/zcu102/system_bd.tcl +++ b/projects/daq3/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## FIFO depth is 8Mb - 500k samples set dac_fifo_address_width 16 diff --git a/projects/daq3/zcu102/system_project.tcl b/projects/daq3/zcu102/system_project.tcl index 2cd067c4c..8cf3c30f3 100644 --- a/projects/daq3/zcu102/system_project.tcl +++ b/projects/daq3/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcadc2/common/fmcadc2_bd.tcl b/projects/fmcadc2/common/fmcadc2_bd.tcl index cb7467fc7..6a4017984 100644 --- a/projects/fmcadc2/common/fmcadc2_bd.tcl +++ b/projects/fmcadc2/common/fmcadc2_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # # Parameter description: # RX_JESD_M : Number of converters per link diff --git a/projects/fmcadc2/vc707/system_bd.tcl b/projects/fmcadc2/vc707/system_bd.tcl index 0527da772..cdc227b88 100644 --- a/projects/fmcadc2/vc707/system_bd.tcl +++ b/projects/fmcadc2/vc707/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## FIFO depth is 16Mb - 1M samples set adc_fifo_address_width 18 diff --git a/projects/fmcadc2/vc707/system_project.tcl b/projects/fmcadc2/vc707/system_project.tcl index 1e2e49dcf..30b45d66b 100644 --- a/projects/fmcadc2/vc707/system_project.tcl +++ b/projects/fmcadc2/vc707/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcadc2/zc706/system_bd.tcl b/projects/fmcadc2/zc706/system_bd.tcl index e70930a6a..7eae6e2eb 100644 --- a/projects/fmcadc2/zc706/system_bd.tcl +++ b/projects/fmcadc2/zc706/system_bd.tcl @@ -1,5 +1,9 @@ -set adc_fifo_address_width 18 +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +set adc_fifo_address_width 18 source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl source ../common/fmcadc2_bd.tcl diff --git a/projects/fmcadc2/zc706/system_project.tcl b/projects/fmcadc2/zc706/system_project.tcl index 36c4c6997..970212602 100644 --- a/projects/fmcadc2/zc706/system_project.tcl +++ b/projects/fmcadc2/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcadc5/common/fmcadc5_bd.tcl b/projects/fmcadc5/common/fmcadc5_bd.tcl index af39ad805..0881a8d04 100644 --- a/projects/fmcadc5/common/fmcadc5_bd.tcl +++ b/projects/fmcadc5/common/fmcadc5_bd.tcl @@ -1,4 +1,7 @@ - +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source $ad_hdl_dir/library/jesd204/scripts/jesd204.tcl diff --git a/projects/fmcadc5/vc707/system_bd.tcl b/projects/fmcadc5/vc707/system_bd.tcl index fc74d7a87..49dbd0442 100644 --- a/projects/fmcadc5/vc707/system_bd.tcl +++ b/projects/fmcadc5/vc707/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## FIFO depth is 16Mb - 1M Samples set adc_fifo_address_width 18 diff --git a/projects/fmcadc5/vc707/system_project.tcl b/projects/fmcadc5/vc707/system_project.tcl index 9c388ae28..a0071bcfa 100644 --- a/projects/fmcadc5/vc707/system_project.tcl +++ b/projects/fmcadc5/vc707/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcjesdadc1/common/fmcjesdadc1_bd.tcl b/projects/fmcjesdadc1/common/fmcjesdadc1_bd.tcl index 7d6ad6ce3..069e927a8 100644 --- a/projects/fmcjesdadc1/common/fmcjesdadc1_bd.tcl +++ b/projects/fmcjesdadc1/common/fmcjesdadc1_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # # Parameter description: # RX_JESD_M : Number of converters per link diff --git a/projects/fmcjesdadc1/kc705/system_bd.tcl b/projects/fmcjesdadc1/kc705/system_bd.tcl index 6e491aef9..89b740c9c 100644 --- a/projects/fmcjesdadc1/kc705/system_bd.tcl +++ b/projects/fmcjesdadc1/kc705/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/kc705/kc705_system_bd.tcl source ../common/fmcjesdadc1_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcjesdadc1/kc705/system_project.tcl b/projects/fmcjesdadc1/kc705/system_project.tcl index 19d104f3d..a0606d38e 100644 --- a/projects/fmcjesdadc1/kc705/system_project.tcl +++ b/projects/fmcjesdadc1/kc705/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl diff --git a/projects/fmcjesdadc1/vc707/system_bd.tcl b/projects/fmcjesdadc1/vc707/system_bd.tcl index 883ae66d7..db3dbfff8 100644 --- a/projects/fmcjesdadc1/vc707/system_bd.tcl +++ b/projects/fmcjesdadc1/vc707/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/vc707/vc707_system_bd.tcl source ../common/fmcjesdadc1_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcjesdadc1/vc707/system_project.tcl b/projects/fmcjesdadc1/vc707/system_project.tcl index b4688dc0e..f1f1f3d5b 100644 --- a/projects/fmcjesdadc1/vc707/system_project.tcl +++ b/projects/fmcjesdadc1/vc707/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcjesdadc1/zc706/system_bd.tcl b/projects/fmcjesdadc1/zc706/system_bd.tcl index ca9ed97ec..8ac9640f8 100644 --- a/projects/fmcjesdadc1/zc706/system_bd.tcl +++ b/projects/fmcjesdadc1/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source ../common/fmcjesdadc1_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcjesdadc1/zc706/system_project.tcl b/projects/fmcjesdadc1/zc706/system_project.tcl index 2dd4ebae2..23d057d25 100644 --- a/projects/fmcjesdadc1/zc706/system_project.tcl +++ b/projects/fmcjesdadc1/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcomms11/common/fmcomms11_bd.tcl b/projects/fmcomms11/common/fmcomms11_bd.tcl index 823bd155d..926d5cdcc 100644 --- a/projects/fmcomms11/common/fmcomms11_bd.tcl +++ b/projects/fmcomms11/common/fmcomms11_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### source $ad_hdl_dir/library/jesd204/scripts/jesd204.tcl diff --git a/projects/fmcomms11/zc706/system_bd.tcl b/projects/fmcomms11/zc706/system_bd.tcl index 973c1edfa..14a76aec9 100644 --- a/projects/fmcomms11/zc706/system_bd.tcl +++ b/projects/fmcomms11/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # instantiate the base design source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl diff --git a/projects/fmcomms11/zc706/system_project.tcl b/projects/fmcomms11/zc706/system_project.tcl index 0dcf3efd0..7e2bb443b 100644 --- a/projects/fmcomms11/zc706/system_project.tcl +++ b/projects/fmcomms11/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcomms2/common/fmcomms2_bd.tcl b/projects/fmcomms2/common/fmcomms2_bd.tcl index a7857b082..3335ae7c4 100644 --- a/projects/fmcomms2/common/fmcomms2_bd.tcl +++ b/projects/fmcomms2/common/fmcomms2_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # fmcomms2 diff --git a/projects/fmcomms2/common/fmcomms2_qsys.tcl b/projects/fmcomms2/common/fmcomms2_qsys.tcl index 1702e8d08..0e329ca60 100644 --- a/projects/fmcomms2/common/fmcomms2_qsys.tcl +++ b/projects/fmcomms2/common/fmcomms2_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9361 diff --git a/projects/fmcomms2/kc705/system_bd.tcl b/projects/fmcomms2/kc705/system_bd.tcl index ee9c22900..fbe6c55d8 100644 --- a/projects/fmcomms2/kc705/system_bd.tcl +++ b/projects/fmcomms2/kc705/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/kc705/kc705_system_bd.tcl source ../common/fmcomms2_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcomms2/kc705/system_project.tcl b/projects/fmcomms2/kc705/system_project.tcl index d2706c7cc..c17f65837 100644 --- a/projects/fmcomms2/kc705/system_project.tcl +++ b/projects/fmcomms2/kc705/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcomms2/kcu105/system_bd.tcl b/projects/fmcomms2/kcu105/system_bd.tcl index 88dd76c4a..3e932bdaf 100644 --- a/projects/fmcomms2/kcu105/system_bd.tcl +++ b/projects/fmcomms2/kcu105/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/kcu105/kcu105_system_bd.tcl source $ad_hdl_dir/projects/common/kcu105/kcu105_system_mig.tcl source ../common/fmcomms2_bd.tcl diff --git a/projects/fmcomms2/kcu105/system_project.tcl b/projects/fmcomms2/kcu105/system_project.tcl index 9ece48054..52b6dd7c3 100644 --- a/projects/fmcomms2/kcu105/system_project.tcl +++ b/projects/fmcomms2/kcu105/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcomms2/vc707/system_bd.tcl b/projects/fmcomms2/vc707/system_bd.tcl index 2a4445c58..3cb673bd0 100644 --- a/projects/fmcomms2/vc707/system_bd.tcl +++ b/projects/fmcomms2/vc707/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/vc707/vc707_system_bd.tcl source ../common/fmcomms2_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcomms2/vc707/system_project.tcl b/projects/fmcomms2/vc707/system_project.tcl index 76c1ccb93..2c713f424 100644 --- a/projects/fmcomms2/vc707/system_project.tcl +++ b/projects/fmcomms2/vc707/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcomms2/zc702/system_bd.tcl b/projects/fmcomms2/zc702/system_bd.tcl index f24619ac7..197c575ab 100644 --- a/projects/fmcomms2/zc702/system_bd.tcl +++ b/projects/fmcomms2/zc702/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc702/zc702_system_bd.tcl source ../common/fmcomms2_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcomms2/zc702/system_project.tcl b/projects/fmcomms2/zc702/system_project.tcl index 350ed74c7..6aef021b9 100644 --- a/projects/fmcomms2/zc702/system_project.tcl +++ b/projects/fmcomms2/zc702/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcomms2/zc706/system_bd.tcl b/projects/fmcomms2/zc706/system_bd.tcl index 1c77813d2..4e6496a42 100644 --- a/projects/fmcomms2/zc706/system_bd.tcl +++ b/projects/fmcomms2/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source ../common/fmcomms2_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcomms2/zc706/system_project.tcl b/projects/fmcomms2/zc706/system_project.tcl index c57ccb064..4ae778edb 100644 --- a/projects/fmcomms2/zc706/system_project.tcl +++ b/projects/fmcomms2/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcomms2/zcu102/system_bd.tcl b/projects/fmcomms2/zcu102/system_bd.tcl index ae6af6a5c..1f3addccd 100644 --- a/projects/fmcomms2/zcu102/system_bd.tcl +++ b/projects/fmcomms2/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl source ../common/fmcomms2_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcomms2/zcu102/system_project.tcl b/projects/fmcomms2/zcu102/system_project.tcl index 8a8c66d24..7af4ad85d 100644 --- a/projects/fmcomms2/zcu102/system_project.tcl +++ b/projects/fmcomms2/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcomms2/zed/system_bd.tcl b/projects/fmcomms2/zed/system_bd.tcl index 90633c2a3..bb7ca20e8 100644 --- a/projects/fmcomms2/zed/system_bd.tcl +++ b/projects/fmcomms2/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source ../common/fmcomms2_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcomms2/zed/system_project.tcl b/projects/fmcomms2/zed/system_project.tcl index d00eba5dd..2afe1d2fc 100644 --- a/projects/fmcomms2/zed/system_project.tcl +++ b/projects/fmcomms2/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcomms5/common/fmcomms5_bd.tcl b/projects/fmcomms5/common/fmcomms5_bd.tcl index 15953512e..694e588d4 100644 --- a/projects/fmcomms5/common/fmcomms5_bd.tcl +++ b/projects/fmcomms5/common/fmcomms5_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # fmcomms5 diff --git a/projects/fmcomms5/zc702/system_bd.tcl b/projects/fmcomms5/zc702/system_bd.tcl index a2ddbbf36..f26297e40 100644 --- a/projects/fmcomms5/zc702/system_bd.tcl +++ b/projects/fmcomms5/zc702/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc702/zc702_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcomms5/zc702/system_project.tcl b/projects/fmcomms5/zc702/system_project.tcl index 043c3f8fe..94190d5de 100644 --- a/projects/fmcomms5/zc702/system_project.tcl +++ b/projects/fmcomms5/zc702/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcomms5/zc706/system_bd.tcl b/projects/fmcomms5/zc706/system_bd.tcl index 2668b7e51..a0769d90e 100644 --- a/projects/fmcomms5/zc706/system_bd.tcl +++ b/projects/fmcomms5/zc706/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcomms5/zc706/system_project.tcl b/projects/fmcomms5/zc706/system_project.tcl index 04a403816..40098fde0 100644 --- a/projects/fmcomms5/zc706/system_project.tcl +++ b/projects/fmcomms5/zc706/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcomms5/zcu102/system_bd.tcl b/projects/fmcomms5/zcu102/system_bd.tcl index 471e2ee78..66d82e794 100644 --- a/projects/fmcomms5/zcu102/system_bd.tcl +++ b/projects/fmcomms5/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl source ../common/fmcomms5_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcomms5/zcu102/system_project.tcl b/projects/fmcomms5/zcu102/system_project.tcl index e6b136996..06f53599e 100644 --- a/projects/fmcomms5/zcu102/system_project.tcl +++ b/projects/fmcomms5/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/fmcomms8/a10soc/system_project.tcl b/projects/fmcomms8/a10soc/system_project.tcl index 3d34db1b8..1773314e5 100755 --- a/projects/fmcomms8/a10soc/system_project.tcl +++ b/projects/fmcomms8/a10soc/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source ../../scripts/adi_project_intel.tcl diff --git a/projects/fmcomms8/a10soc/system_qsys.tcl b/projects/fmcomms8/a10soc/system_qsys.tcl index a3bfd4899..68b853a3d 100755 --- a/projects/fmcomms8/a10soc/system_qsys.tcl +++ b/projects/fmcomms8/a10soc/system_qsys.tcl @@ -1,5 +1,9 @@ -set dac_fifo_address_width 16 +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +set dac_fifo_address_width 16 source $ad_hdl_dir/projects/scripts/adi_pd.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl diff --git a/projects/fmcomms8/common/fmcomms8_bd.tcl b/projects/fmcomms8/common/fmcomms8_bd.tcl index 185fb8e5e..6966419ea 100644 --- a/projects/fmcomms8/common/fmcomms8_bd.tcl +++ b/projects/fmcomms8/common/fmcomms8_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_bd_port -dir I ref_clk_c create_bd_port -dir I ref_clk_d diff --git a/projects/fmcomms8/common/fmcomms8_qsys.tcl b/projects/fmcomms8/common/fmcomms8_qsys.tcl index ae64344a8..04f33656a 100644 --- a/projects/fmcomms8/common/fmcomms8_qsys.tcl +++ b/projects/fmcomms8/common/fmcomms8_qsys.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # TX parameters set TX_NUM_OF_LANES 8 ; # L diff --git a/projects/fmcomms8/zcu102/system_bd.tcl b/projects/fmcomms8/zcu102/system_bd.tcl index 4f0910d81..d64224358 100644 --- a/projects/fmcomms8/zcu102/system_bd.tcl +++ b/projects/fmcomms8/zcu102/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/fmcomms8/zcu102/system_project.tcl b/projects/fmcomms8/zcu102/system_project.tcl index 0f2b9b7fa..90421883f 100644 --- a/projects/fmcomms8/zcu102/system_project.tcl +++ b/projects/fmcomms8/zcu102/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/imageon/common/imageon_bd.tcl b/projects/imageon/common/imageon_bd.tcl index 0074e2ffa..8e447cabd 100644 --- a/projects/imageon/common/imageon_bd.tcl +++ b/projects/imageon/common/imageon_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # adv7511 (reconfigure base design) diff --git a/projects/imageon/zed/system_bd.tcl b/projects/imageon/zed/system_bd.tcl index 8b283954f..fcfe8c1b6 100644 --- a/projects/imageon/zed/system_bd.tcl +++ b/projects/imageon/zed/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl source ../common/imageon_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl diff --git a/projects/imageon/zed/system_project.tcl b/projects/imageon/zed/system_project.tcl index b4e2665a5..e1e55ae49 100644 --- a/projects/imageon/zed/system_project.tcl +++ b/projects/imageon/zed/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # load script source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl diff --git a/projects/m2k/common/m2k_bd.tcl b/projects/m2k/common/m2k_bd.tcl index 444e791eb..dcc15a5de 100644 --- a/projects/m2k/common/m2k_bd.tcl +++ b/projects/m2k/common/m2k_bd.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### if {[info exists DEBUG_BUILD] == 0} { set DEBUG_BUILD 1 diff --git a/projects/m2k/standalone/system_bd.tcl b/projects/m2k/standalone/system_bd.tcl index 18c18189e..c6c835604 100644 --- a/projects/m2k/standalone/system_bd.tcl +++ b/projects/m2k/standalone/system_bd.tcl @@ -1,5 +1,9 @@ -set_msg_config -id {PSU-1} -new_severity {WARNING} +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +set_msg_config -id {PSU-1} -new_severity {WARNING} set DEBUG_BUILD 0 # create board design diff --git a/projects/m2k/standalone/system_project.tcl b/projects/m2k/standalone/system_project.tcl index b3bb16f4b..7d070354f 100644 --- a/projects/m2k/standalone/system_project.tcl +++ b/projects/m2k/standalone/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/pluto/system_bd.tcl b/projects/pluto/system_bd.tcl index bb8b96c7e..436a4a9d9 100644 --- a/projects/pluto/system_bd.tcl +++ b/projects/pluto/system_bd.tcl @@ -1,5 +1,9 @@ -# create board design +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# create board design source $ad_hdl_dir/projects/common/xilinx/adi_fir_filter_bd.tcl # default ports diff --git a/projects/pluto/system_project.tcl b/projects/pluto/system_project.tcl index cdfaccf93..3c5d49aa2 100644 --- a/projects/pluto/system_project.tcl +++ b/projects/pluto/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/pulsar_adc_pmdz/common/pulsar_adc_pmdz_bd.tcl b/projects/pulsar_adc_pmdz/common/pulsar_adc_pmdz_bd.tcl index 5c005da8c..bd5a90a80 100644 --- a/projects/pulsar_adc_pmdz/common/pulsar_adc_pmdz_bd.tcl +++ b/projects/pulsar_adc_pmdz/common/pulsar_adc_pmdz_bd.tcl @@ -1,5 +1,9 @@ -create_bd_intf_port -mode Master -vlnv analog.com:interface:spi_master_rtl:1.0 pulsar_adc_spi +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +create_bd_intf_port -mode Master -vlnv analog.com:interface:spi_master_rtl:1.0 pulsar_adc_spi source $ad_hdl_dir/library/spi_engine/scripts/spi_engine.tcl set data_width 32 diff --git a/projects/pulsar_adc_pmdz/coraz7s/system_bd.tcl b/projects/pulsar_adc_pmdz/coraz7s/system_bd.tcl index 037acfadf..be70162f0 100644 --- a/projects/pulsar_adc_pmdz/coraz7s/system_bd.tcl +++ b/projects/pulsar_adc_pmdz/coraz7s/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source $ad_hdl_dir/projects/common/coraz7s/coraz7s_system_bd.tcl source $ad_hdl_dir/projects/scripts/adi_pd.tcl source ../common/pulsar_adc_pmdz_bd.tcl diff --git a/projects/pulsar_adc_pmdz/coraz7s/system_project.tcl b/projects/pulsar_adc_pmdz/coraz7s/system_project.tcl index 14c544f42..47a981297 100755 --- a/projects/pulsar_adc_pmdz/coraz7s/system_project.tcl +++ b/projects/pulsar_adc_pmdz/coraz7s/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/scripts/adi_board.tcl b/projects/scripts/adi_board.tcl index 7479899e0..f6e563315 100644 --- a/projects/scripts/adi_board.tcl +++ b/projects/scripts/adi_board.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### package require math diff --git a/projects/scripts/adi_fmc_constr_generator.tcl b/projects/scripts/adi_fmc_constr_generator.tcl index aff590473..42882c7f3 100755 --- a/projects/scripts/adi_fmc_constr_generator.tcl +++ b/projects/scripts/adi_fmc_constr_generator.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # To use this script you can source it in any tcl shell or simply # run it e.g. tclsh ../../scripts/adi_fmc_constr_generator.tcl fmc0 diff --git a/projects/scripts/adi_intel_msg.tcl b/projects/scripts/adi_intel_msg.tcl index b4cde0f51..eb58fa96c 100644 --- a/projects/scripts/adi_intel_msg.tcl +++ b/projects/scripts/adi_intel_msg.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # For Arria 10 architecture set_global_assignment -name MESSAGE_DISABLE 17951 ; ## unused RX channels diff --git a/projects/scripts/adi_make.tcl b/projects/scripts/adi_make.tcl index ed280337a..0efe7db4e 100644 --- a/projects/scripts/adi_make.tcl +++ b/projects/scripts/adi_make.tcl @@ -1,37 +1,7 @@ -## *************************************************************************** -## *************************************************************************** -## Copyright 2014 - 2018 (c) Analog Devices, Inc. All rights reserved. -## -## In this HDL repository, there are many different and unique modules, consisting -## of various HDL (Verilog or VHDL) components. The individual modules are -## developed independently, and may be accompanied by separate and unique license -## terms. -## -## The user should read each of these license terms, and understand the -## freedoms and responsibilities that he or she has by using this source/core. -## -## This core is distributed in the hope that it will be useful, but WITHOUT ANY -## WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -## A PARTICULAR PURPOSE. -## -## Redistribution and use of source or resulting binaries, with or without modification -## of this file, are permitted under one of the following two license terms: -## -## 1. The GNU General Public License version 2 as published by the -## Free Software Foundation, which can be found in the top level directory -## of this repository (LICENSE_GPL2), and also online at: -## -## -## OR -## -## 2. An ADI specific BSD license, which can be found in the top level directory -## of this repository (LICENSE_ADIBSD), and also on-line at: -## https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -## This will allow to generate bit files and not release the source code, -## as long as it attaches to an ADI device. -## -## *************************************************************************** -## *************************************************************************** +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### ############################################################################## ## The folowing procedures are available: diff --git a/projects/scripts/adi_make_boot_bin.tcl b/projects/scripts/adi_make_boot_bin.tcl index 010dc90b6..07ef9715e 100644 --- a/projects/scripts/adi_make_boot_bin.tcl +++ b/projects/scripts/adi_make_boot_bin.tcl @@ -1,37 +1,7 @@ -## *************************************************************************** -## *************************************************************************** -## Copyright 2014 - 2018 (c) Analog Devices, Inc. All rights reserved. -## -## In this HDL repository, there are many different and unique modules, consisting -## of various HDL (Verilog or VHDL) components. The individual modules are -## developed independently, and may be accompanied by separate and unique license -## terms. -## -## The user should read each of these license terms, and understand the -## freedoms and responsibilities that he or she has by using this source/core. -## -## This core is distributed in the hope that it will be useful, but WITHOUT ANY -## WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -## A PARTICULAR PURPOSE. -## -## Redistribution and use of source or resulting binaries, with or without modification -## of this file, are permitted under one of the following two license terms: -## -## 1. The GNU General Public License version 2 as published by the -## Free Software Foundation, which can be found in the top level directory -## of this repository (LICENSE_GPL2), and also online at: -## -## -## OR -## -## 2. An ADI specific BSD license, which can be found in the top level directory -## of this repository (LICENSE_ADIBSD), and also on-line at: -## https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -## This will allow to generate bit files and not release the source code, -## as long as it attaches to an ADI device. -## -## *************************************************************************** -## *************************************************************************** +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # script name adi_make_boot_bin.tcl diff --git a/projects/scripts/adi_pd.tcl b/projects/scripts/adi_pd.tcl index 64eb2a5cd..e139d9f9e 100644 --- a/projects/scripts/adi_pd.tcl +++ b/projects/scripts/adi_pd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## Converts a string input to hex and adds whitespace as padding to obtain the size defined by # the blocksize parameter. # diff --git a/projects/scripts/adi_project_intel.tcl b/projects/scripts/adi_project_intel.tcl index e99aefa81..b1a0451a5 100644 --- a/projects/scripts/adi_project_intel.tcl +++ b/projects/scripts/adi_project_intel.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### ## Initialize global variable set family "none" diff --git a/projects/scripts/adi_project_xilinx.tcl b/projects/scripts/adi_project_xilinx.tcl index db0cdd866..2f6f3672e 100644 --- a/projects/scripts/adi_project_xilinx.tcl +++ b/projects/scripts/adi_project_xilinx.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + ## Define the ADI_USE_OOC_SYNTHESIS environment variable to enable out of context # synthesis if {[info exists ::env(ADI_USE_OOC_SYNTHESIS)]} { diff --git a/projects/scripts/adi_tquest.tcl b/projects/scripts/adi_tquest.tcl index be50c76b3..0c86ac19c 100644 --- a/projects/scripts/adi_tquest.tcl +++ b/projects/scripts/adi_tquest.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### report_timing -detail full_path -npaths 20 -setup -file timing_impl.log report_timing -detail full_path -npaths 20 -hold -append -file timing_impl.log diff --git a/projects/scripts/adi_xilinx_msg.tcl b/projects/scripts/adi_xilinx_msg.tcl index 39f7307d6..14d282531 100644 --- a/projects/scripts/adi_xilinx_msg.tcl +++ b/projects/scripts/adi_xilinx_msg.tcl @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### ################################################################################ ## This file contains all the message severity changes for Vivado 20xx.x.x diff --git a/projects/scripts/gtwizard_generator.tcl b/projects/scripts/gtwizard_generator.tcl index ec03439a9..11a1d9827 100644 --- a/projects/scripts/gtwizard_generator.tcl +++ b/projects/scripts/gtwizard_generator.tcl @@ -1,4 +1,7 @@ ############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +# # CPLL - generate reference clocks for a given Lane Rate # # Attributes: NOTE lane rate should be define in kHz @@ -290,7 +293,7 @@ proc ad_gth_generator { {lane_rate_l {}} {pll_type {}} {ref_clk_l {}} } { set float_clk [format "%.3f" [expr {$ref_clk}]] create_ip -name gtwizard -vendor xilinx.com -library ip -version 3.6 -module_name $ip_name - + set_property -dict [list \ CONFIG.identical_protocol_file {JESD204} \ CONFIG.identical_val_tx_reference_clock $float_clk \ @@ -353,7 +356,7 @@ proc ad_gth_generator { {lane_rate_l {}} {pll_type {}} {ref_clk_l {}} } { CONFIG.gt0_val_align_comma_enable {1111111111} \ ] [get_ips $ip_name] - puts "\n IP generated \n" + puts "\n IP generated \n" ## generate output products and run synthesis generate_target all [get_files \ @@ -406,7 +409,7 @@ proc ad_gth_generator { {lane_rate_l {}} {pll_type {}} {ref_clk_l {}} } { CONFIG.RX_COMMA_MASK {1111111111} \ ] [get_ips $ip_name] - puts "\n IP generated \n" + puts "\n IP generated \n" ## generate output products and run synthesis generate_target all [get_files \ @@ -474,13 +477,13 @@ proc get_diff_params { {lane_rate_l {}} {pll_type {}} {ref_clk_l {}} {keep_ip " "xcvu37p" { set gt_type GTYE4 } - + default { puts "ERROR ad_gth_generator: Unsupported device." return 1 } } - + set current_dir [pwd] set project_name [get_property NAME [current_project]] @@ -521,10 +524,10 @@ proc get_diff_params { {lane_rate_l {}} {pll_type {}} {ref_clk_l {}} {keep_ip " } } } else { - puts "\ngenerated files can be find at $project_name\.gen/sources_1/ip" + puts "\ngenerated files can be find at $project_name\.gen/sources_1/ip" } - + puts "\nconfiguration file for the tranciever is $project_name\.gen/sources_1/ip/$gt_type\_cfng.txt" } diff --git a/projects/sidekiqz2/system_bd.tcl b/projects/sidekiqz2/system_bd.tcl index dbaee2142..a57c53bce 100755 --- a/projects/sidekiqz2/system_bd.tcl +++ b/projects/sidekiqz2/system_bd.tcl @@ -1,5 +1,9 @@ -# create board design +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# create board design source ../pluto/system_bd.tcl ad_ip_parameter sys_ps7 CONFIG.PCW_GPIO_EMIO_GPIO_IO 19 diff --git a/projects/sidekiqz2/system_project.tcl b/projects/sidekiqz2/system_project.tcl index 3e6d45d26..5373f8e17 100755 --- a/projects/sidekiqz2/system_project.tcl +++ b/projects/sidekiqz2/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/projects/usrpe31x/system_bd.tcl b/projects/usrpe31x/system_bd.tcl index 4842c1f79..7723497fb 100644 --- a/projects/usrpe31x/system_bd.tcl +++ b/projects/usrpe31x/system_bd.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # create board design # default ports diff --git a/projects/usrpe31x/system_project.tcl b/projects/usrpe31x/system_project.tcl index 0a998f5d2..f49168bfd 100644 --- a/projects/usrpe31x/system_project.tcl +++ b/projects/usrpe31x/system_project.tcl @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + source ../../scripts/adi_env.tcl source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl source $ad_hdl_dir/projects/scripts/adi_board.tcl diff --git a/scripts/adi_env.tcl b/scripts/adi_env.tcl index 558e1ca26..d624228b2 100644 --- a/scripts/adi_env.tcl +++ b/scripts/adi_env.tcl @@ -1,5 +1,9 @@ -# environment related stuff +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# environment related stuff set ad_hdl_dir [file normalize [file join [file dirname [info script]] "../"]] if [info exists ::env(ADI_HDL_DIR)] {