From cdd89650358273421a2c5e78e5a292c523eed8e9 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Tue, 17 Mar 2015 17:40:52 +0200 Subject: [PATCH] ad9739a_fmc: Updated project with interrupts in IPI to work correctly in Linux --- projects/ad9739a_fmc/common/ad9739a_fmc_bd.tcl | 10 +++++----- projects/ad9739a_fmc/zc706/system_top.v | 2 -- 2 files changed, 5 insertions(+), 7 deletions(-) diff --git a/projects/ad9739a_fmc/common/ad9739a_fmc_bd.tcl b/projects/ad9739a_fmc/common/ad9739a_fmc_bd.tcl index f4a198b42..c4f5ca311 100644 --- a/projects/ad9739a_fmc/common/ad9739a_fmc_bd.tcl +++ b/projects/ad9739a_fmc/common/ad9739a_fmc_bd.tcl @@ -19,10 +19,6 @@ set spi_sdo_o [create_bd_port -dir O spi_sdo_o] set spi_sdi_i [create_bd_port -dir I spi_sdi_i] - # interrupts - - set ad9739a_dma_irq [create_bd_port -dir O ad9739a_dma_irq] - # dac peripherals set axi_ad9739a [create_bd_cell -type ip -vlnv analog.com:user:axi_ad9739a:1.0 axi_ad9739a] @@ -60,7 +56,11 @@ connect_bd_net -net axi_ad9739a_dac_valid [get_bd_pins axi_ad9739a/dac_valid] [get_bd_pins axi_ad9739a_dma/fifo_rd_en] connect_bd_net -net axi_ad9739a_dac_ddata [get_bd_pins axi_ad9739a/dac_ddata] [get_bd_pins axi_ad9739a_dma/fifo_rd_dout] connect_bd_net -net axi_ad9739a_dac_dunf [get_bd_pins axi_ad9739a/dac_dunf] [get_bd_pins axi_ad9739a_dma/fifo_rd_underflow] - connect_bd_net -net axi_ad9739a_dma_irq [get_bd_pins axi_ad9739a_dma/irq] [get_bd_ports ad9739a_dma_irq] + + #interrupt + + delete_bd_objs [get_bd_nets ps_intr_12_s] [get_bd_ports ps_intr_12] + connect_bd_net -net axi_ad9739a_dma_irq [get_bd_pins axi_ad9739a_dma/irq] [get_bd_pins sys_concat_intc/In12] # interconnect (cpu) diff --git a/projects/ad9739a_fmc/zc706/system_top.v b/projects/ad9739a_fmc/zc706/system_top.v index 2047fbdb9..f70182ff0 100644 --- a/projects/ad9739a_fmc/zc706/system_top.v +++ b/projects/ad9739a_fmc/zc706/system_top.v @@ -183,7 +183,6 @@ module system_top ( .GPIO_I (gpio_i), .GPIO_O (gpio_o), .GPIO_T (gpio_t), - .ad9739a_dma_irq (ps_intrs[12]), .dac_clk_in_n (dac_clk_in_n), .dac_clk_in_p (dac_clk_in_p), .dac_clk_out_n (dac_clk_out_n), @@ -203,7 +202,6 @@ module system_top ( .ps_intr_1 (ps_intrs[1]), .ps_intr_10 (ps_intrs[10]), .ps_intr_11 (ps_intrs[11]), - .ps_intr_12 (ps_intrs[12]), .ps_intr_13 (ps_intrs[13]), .ps_intr_2 (ps_intrs[2]), .ps_intr_3 (ps_intrs[3]),