axi_ad9671: updated constraints to apply in all cases

main
Adrian Costina 2015-08-13 11:53:15 +03:00
parent c200fc8019
commit ce26373e8a
1 changed files with 18 additions and 18 deletions

View File

@ -8,36 +8,36 @@ set_property ASYNC_REG TRUE \
[get_cells -hier *state_m2_reg*]
set_false_path \
-from [get_cells -hier up_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier d_xfer_toggle_m1_reg* -filter {primitive_subgroup == flop}]
-from [get_cells -hier *up_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier *d_xfer_toggle_m1_reg* -filter {primitive_subgroup == flop}]
set_false_path \
-from [get_cells -hier d_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier up_xfer_state_m1_reg* -filter {primitive_subgroup == flop}]
-from [get_cells -hier *d_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier *up_xfer_state_m1_reg* -filter {primitive_subgroup == flop}]
set_max_delay -datapath_only \
-from [get_cells -hier up_xfer_data_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier d_data_cntrl_reg* -filter {primitive_subgroup == flop}] \
-from [get_cells -hier *up_xfer_data_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier *d_data_cntrl_reg* -filter {primitive_subgroup == flop}] \
[get_property PERIOD $ad9671_clk]
set_false_path \
-from [get_cells -hier d_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier up_xfer_toggle_m1_reg* -filter {primitive_subgroup == flop}]
-from [get_cells -hier *d_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier *up_xfer_toggle_m1_reg* -filter {primitive_subgroup == flop}]
set_false_path \
-from [get_cells -hier up_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier d_xfer_state_m1_reg* -filter {primitive_subgroup == flop}]
-from [get_cells -hier *up_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier *d_xfer_state_m1_reg* -filter {primitive_subgroup == flop}]
set_max_delay -datapath_only \
-from [get_cells -hier d_xfer_data_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier up_data_status_reg* -filter {primitive_subgroup == flop}] \
-from [get_cells -hier *d_xfer_data_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier *up_data_status_reg* -filter {primitive_subgroup == flop}] \
[get_property PERIOD $up_clk]
set_false_path \
-from [get_cells -hier up_count_toggle_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier d_count_toggle_m1_reg* -filter {primitive_subgroup == flop}]
-from [get_cells -hier *up_count_toggle_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier *d_count_toggle_m1_reg* -filter {primitive_subgroup == flop}]
set_false_path \
-from [get_cells -hier d_count_toggle_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier up_count_toggle_m1_reg* -filter {primitive_subgroup == flop}]
-from [get_cells -hier *d_count_toggle_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier *up_count_toggle_m1_reg* -filter {primitive_subgroup == flop}]
set_max_delay -datapath_only \
-from [get_cells -hier d_count_hold_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier up_d_count_reg* -filter {primitive_subgroup == flop}] \
-from [get_cells -hier *d_count_hold_reg* -filter {primitive_subgroup == flop}] \
-to [get_cells -hier *up_d_count_reg* -filter {primitive_subgroup == flop}] \
[get_property PERIOD $up_clk]
set_false_path \