From ce26373e8a793575f97e2900665dd6da5c560cb0 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Thu, 13 Aug 2015 11:53:15 +0300 Subject: [PATCH] axi_ad9671: updated constraints to apply in all cases --- library/axi_ad9671/axi_ad9671_constr.xdc | 36 ++++++++++++------------ 1 file changed, 18 insertions(+), 18 deletions(-) diff --git a/library/axi_ad9671/axi_ad9671_constr.xdc b/library/axi_ad9671/axi_ad9671_constr.xdc index a1febe498..54d9a4d89 100644 --- a/library/axi_ad9671/axi_ad9671_constr.xdc +++ b/library/axi_ad9671/axi_ad9671_constr.xdc @@ -8,36 +8,36 @@ set_property ASYNC_REG TRUE \ [get_cells -hier *state_m2_reg*] set_false_path \ - -from [get_cells -hier up_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \ - -to [get_cells -hier d_xfer_toggle_m1_reg* -filter {primitive_subgroup == flop}] + -from [get_cells -hier *up_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \ + -to [get_cells -hier *d_xfer_toggle_m1_reg* -filter {primitive_subgroup == flop}] set_false_path \ - -from [get_cells -hier d_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \ - -to [get_cells -hier up_xfer_state_m1_reg* -filter {primitive_subgroup == flop}] + -from [get_cells -hier *d_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \ + -to [get_cells -hier *up_xfer_state_m1_reg* -filter {primitive_subgroup == flop}] set_max_delay -datapath_only \ - -from [get_cells -hier up_xfer_data_reg* -filter {primitive_subgroup == flop}] \ - -to [get_cells -hier d_data_cntrl_reg* -filter {primitive_subgroup == flop}] \ + -from [get_cells -hier *up_xfer_data_reg* -filter {primitive_subgroup == flop}] \ + -to [get_cells -hier *d_data_cntrl_reg* -filter {primitive_subgroup == flop}] \ [get_property PERIOD $ad9671_clk] set_false_path \ - -from [get_cells -hier d_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \ - -to [get_cells -hier up_xfer_toggle_m1_reg* -filter {primitive_subgroup == flop}] + -from [get_cells -hier *d_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \ + -to [get_cells -hier *up_xfer_toggle_m1_reg* -filter {primitive_subgroup == flop}] set_false_path \ - -from [get_cells -hier up_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \ - -to [get_cells -hier d_xfer_state_m1_reg* -filter {primitive_subgroup == flop}] + -from [get_cells -hier *up_xfer_toggle_reg* -filter {primitive_subgroup == flop}] \ + -to [get_cells -hier *d_xfer_state_m1_reg* -filter {primitive_subgroup == flop}] set_max_delay -datapath_only \ - -from [get_cells -hier d_xfer_data_reg* -filter {primitive_subgroup == flop}] \ - -to [get_cells -hier up_data_status_reg* -filter {primitive_subgroup == flop}] \ + -from [get_cells -hier *d_xfer_data_reg* -filter {primitive_subgroup == flop}] \ + -to [get_cells -hier *up_data_status_reg* -filter {primitive_subgroup == flop}] \ [get_property PERIOD $up_clk] set_false_path \ - -from [get_cells -hier up_count_toggle_reg* -filter {primitive_subgroup == flop}] \ - -to [get_cells -hier d_count_toggle_m1_reg* -filter {primitive_subgroup == flop}] + -from [get_cells -hier *up_count_toggle_reg* -filter {primitive_subgroup == flop}] \ + -to [get_cells -hier *d_count_toggle_m1_reg* -filter {primitive_subgroup == flop}] set_false_path \ - -from [get_cells -hier d_count_toggle_reg* -filter {primitive_subgroup == flop}] \ - -to [get_cells -hier up_count_toggle_m1_reg* -filter {primitive_subgroup == flop}] + -from [get_cells -hier *d_count_toggle_reg* -filter {primitive_subgroup == flop}] \ + -to [get_cells -hier *up_count_toggle_m1_reg* -filter {primitive_subgroup == flop}] set_max_delay -datapath_only \ - -from [get_cells -hier d_count_hold_reg* -filter {primitive_subgroup == flop}] \ - -to [get_cells -hier up_d_count_reg* -filter {primitive_subgroup == flop}] \ + -from [get_cells -hier *d_count_hold_reg* -filter {primitive_subgroup == flop}] \ + -to [get_cells -hier *up_d_count_reg* -filter {primitive_subgroup == flop}] \ [get_property PERIOD $up_clk] set_false_path \