hdlmake updates
parent
8fba8295f0
commit
cfd4e006b3
272
library/Makefile
272
library/Makefile
|
@ -10,81 +10,82 @@ all: lib
|
|||
|
||||
|
||||
clean:
|
||||
make -C axi_ad6676 clean
|
||||
make -C axi_ad7616 clean
|
||||
make -C axi_ad9122 clean
|
||||
make -C axi_ad9144 clean
|
||||
make -C axi_ad9152 clean
|
||||
make -C axi_ad9162 clean
|
||||
make -C axi_ad9234 clean
|
||||
make -C axi_ad9250 clean
|
||||
make -C axi_ad9265 clean
|
||||
make -C axi_ad9361 clean
|
||||
make -C axi_ad9371 clean
|
||||
make -C axi_ad9434 clean
|
||||
make -C axi_ad9467 clean
|
||||
make -C axi_ad9625 clean
|
||||
make -C axi_ad9643 clean
|
||||
make -C axi_ad9652 clean
|
||||
make -C axi_ad9671 clean
|
||||
make -C axi_ad9680 clean
|
||||
make -C axi_ad9684 clean
|
||||
make -C axi_ad9739a clean
|
||||
make -C axi_ad9963 clean
|
||||
make -C axi_adc_decimate clean
|
||||
make -C axi_adc_trigger clean
|
||||
make -C axi_clkgen clean
|
||||
make -C axi_dac_interpolate clean
|
||||
make -C axi_dmac clean
|
||||
make -C axi_generic_adc clean
|
||||
make -C axi_gpreg clean
|
||||
make -C axi_hdmi_rx clean
|
||||
make -C axi_hdmi_tx clean
|
||||
make -C axi_i2s_adi clean
|
||||
make -C axi_intr_monitor clean
|
||||
make -C axi_logic_analyzer clean
|
||||
make -C axi_mc_controller clean
|
||||
make -C axi_mc_current_monitor clean
|
||||
make -C axi_mc_speed clean
|
||||
make -C axi_rd_wr_combiner clean
|
||||
make -C axi_spdif_rx clean
|
||||
make -C axi_spdif_tx clean
|
||||
make -C axi_usb_fx3 clean
|
||||
make -C cn0363/cn0363_dma_sequencer clean
|
||||
make -C cn0363/cn0363_phase_data_sync clean
|
||||
make -C cordic_demod clean
|
||||
make -C spi_engine/axi_spi_engine clean
|
||||
make -C spi_engine/spi_engine_execution clean
|
||||
make -C spi_engine/spi_engine_interconnect clean
|
||||
make -C spi_engine/spi_engine_offload clean
|
||||
make -C util_adcfifo clean
|
||||
make -C util_axis_fifo clean
|
||||
make -C util_axis_resize clean
|
||||
make -C util_bsplit clean
|
||||
make -C util_ccat clean
|
||||
make -C util_cic clean
|
||||
make -C util_clkdiv clean
|
||||
make -C axi_ad9467 clean
|
||||
make -C axi_generic_adc clean
|
||||
make -C axi_ad9144 clean
|
||||
make -C axi_i2s_adi clean
|
||||
make -C util_wfifo clean
|
||||
make -C cn0363/cn0363_phase_data_sync clean
|
||||
make -C cn0363/cn0363_dma_sequencer clean
|
||||
make -C axi_ad9625 clean
|
||||
make -C axi_mc_controller clean
|
||||
make -C axi_ad9234 clean
|
||||
make -C util_cpack clean
|
||||
make -C util_dacfifo clean
|
||||
make -C util_extract clean
|
||||
make -C util_fir_dec clean
|
||||
make -C util_fir_int clean
|
||||
make -C axi_hdmi_tx clean
|
||||
make -C axi_ad9152 clean
|
||||
make -C util_upack clean
|
||||
make -C axi_ad6676 clean
|
||||
make -C util_gmii_to_rgmii clean
|
||||
make -C axi_ad9643 clean
|
||||
make -C util_var_fifo clean
|
||||
make -C axi_ad9434 clean
|
||||
make -C util_fir_int clean
|
||||
make -C axi_ad7616 clean
|
||||
make -C util_i2c_mixer clean
|
||||
make -C util_mfifo clean
|
||||
make -C axi_ad9371 clean
|
||||
make -C util_pmod_adc clean
|
||||
make -C util_pmod_fmeter clean
|
||||
make -C axi_spdif_rx clean
|
||||
make -C axi_mc_current_monitor clean
|
||||
make -C util_extract clean
|
||||
make -C util_fir_dec clean
|
||||
make -C util_mfifo clean
|
||||
make -C util_cic clean
|
||||
make -C axi_gpreg clean
|
||||
make -C axi_usb_fx3 clean
|
||||
make -C axi_dac_interpolate clean
|
||||
make -C util_axis_fifo clean
|
||||
make -C axi_ad9652 clean
|
||||
make -C axi_adc_trigger clean
|
||||
make -C axi_fmcadc5_sync clean
|
||||
make -C util_bsplit clean
|
||||
make -C util_clkdiv clean
|
||||
make -C axi_rd_wr_combiner clean
|
||||
make -C axi_ad9265 clean
|
||||
make -C axi_spdif_tx clean
|
||||
make -C axi_ad9680 clean
|
||||
make -C util_tdd_sync clean
|
||||
make -C axi_logic_analyzer clean
|
||||
make -C axi_intr_monitor clean
|
||||
make -C util_dacfifo clean
|
||||
make -C axi_ad9250 clean
|
||||
make -C axi_ad9162 clean
|
||||
make -C axi_ad9361 clean
|
||||
make -C util_ccat clean
|
||||
make -C util_rfifo clean
|
||||
make -C util_sigma_delta_spi clean
|
||||
make -C util_tdd_sync clean
|
||||
make -C util_upack clean
|
||||
make -C util_var_fifo clean
|
||||
make -C util_wfifo clean
|
||||
make -C axi_dmac clean
|
||||
make -C axi_clkgen clean
|
||||
make -C axi_hdmi_rx clean
|
||||
make -C xilinx/axi_dacfifo clean
|
||||
make -C xilinx/axi_adcfifo clean
|
||||
make -C xilinx/axi_adxcvr clean
|
||||
make -C xilinx/axi_dacfifo clean
|
||||
make -C xilinx/axi_xcvrlb clean
|
||||
make -C xilinx/util_adxcvr clean
|
||||
make -C axi_mc_speed clean
|
||||
make -C util_adcfifo clean
|
||||
make -C util_axis_resize clean
|
||||
make -C spi_engine/spi_engine_execution clean
|
||||
make -C spi_engine/spi_engine_offload clean
|
||||
make -C spi_engine/axi_spi_engine clean
|
||||
make -C spi_engine/spi_engine_interconnect clean
|
||||
make -C axi_ad9684 clean
|
||||
make -C axi_adc_decimate clean
|
||||
|
||||
make -C interfaces clean
|
||||
|
||||
|
@ -93,83 +94,84 @@ clean-all:clean
|
|||
|
||||
|
||||
lib:
|
||||
-make -C axi_ad6676
|
||||
-make -C axi_ad7616
|
||||
-make -C axi_ad9122
|
||||
-make -C axi_ad9144
|
||||
-make -C axi_ad9152
|
||||
-make -C axi_ad9162
|
||||
-make -C axi_ad9234
|
||||
-make -C axi_ad9250
|
||||
-make -C axi_ad9265
|
||||
-make -C axi_ad9361
|
||||
-make -C axi_ad9371
|
||||
-make -C axi_ad9434
|
||||
-make -C axi_ad9467
|
||||
-make -C axi_ad9625
|
||||
-make -C axi_ad9643
|
||||
-make -C axi_ad9652
|
||||
-make -C axi_ad9671
|
||||
-make -C axi_ad9680
|
||||
-make -C axi_ad9684
|
||||
-make -C axi_ad9739a
|
||||
-make -C axi_ad9963
|
||||
-make -C axi_adc_decimate
|
||||
-make -C axi_adc_trigger
|
||||
-make -C axi_clkgen
|
||||
-make -C axi_dac_interpolate
|
||||
-make -C axi_dmac
|
||||
-make -C axi_generic_adc
|
||||
-make -C axi_gpreg
|
||||
-make -C axi_hdmi_rx
|
||||
-make -C axi_hdmi_tx
|
||||
-make -C axi_i2s_adi
|
||||
-make -C axi_intr_monitor
|
||||
-make -C axi_logic_analyzer
|
||||
-make -C axi_mc_controller
|
||||
-make -C axi_mc_current_monitor
|
||||
-make -C axi_mc_speed
|
||||
-make -C axi_rd_wr_combiner
|
||||
-make -C axi_spdif_rx
|
||||
-make -C axi_spdif_tx
|
||||
-make -C axi_usb_fx3
|
||||
-make -C cn0363/cn0363_dma_sequencer
|
||||
-make -C cn0363/cn0363_phase_data_sync
|
||||
-make -C cordic_demod
|
||||
-make -C spi_engine/axi_spi_engine
|
||||
-make -C spi_engine/spi_engine_execution
|
||||
-make -C spi_engine/spi_engine_interconnect
|
||||
-make -C spi_engine/spi_engine_offload
|
||||
-make -C util_adcfifo
|
||||
-make -C util_axis_fifo
|
||||
-make -C util_axis_resize
|
||||
-make -C util_bsplit
|
||||
-make -C util_ccat
|
||||
-make -C util_cic
|
||||
-make -C util_clkdiv
|
||||
-make -C util_cpack
|
||||
-make -C util_dacfifo
|
||||
-make -C util_extract
|
||||
-make -C util_fir_dec
|
||||
-make -C util_fir_int
|
||||
-make -C util_gmii_to_rgmii
|
||||
-make -C util_i2c_mixer
|
||||
-make -C util_mfifo
|
||||
-make -C util_pmod_adc
|
||||
-make -C util_pmod_fmeter
|
||||
-make -C util_rfifo
|
||||
-make -C util_sigma_delta_spi
|
||||
-make -C util_tdd_sync
|
||||
-make -C util_upack
|
||||
-make -C util_var_fifo
|
||||
-make -C util_wfifo
|
||||
-make -C xilinx/axi_adcfifo
|
||||
-make -C xilinx/axi_adxcvr
|
||||
-make -C xilinx/axi_dacfifo
|
||||
-make -C xilinx/axi_xcvrlb
|
||||
-make -C xilinx/util_adxcvr
|
||||
make -C axi_ad9122
|
||||
make -C axi_ad9671
|
||||
make -C axi_ad9739a
|
||||
make -C axi_ad9963
|
||||
make -C cordic_demod
|
||||
make -C axi_ad9467
|
||||
make -C axi_generic_adc
|
||||
make -C axi_ad9144
|
||||
make -C axi_i2s_adi
|
||||
make -C util_wfifo
|
||||
make -C cn0363/cn0363_phase_data_sync
|
||||
make -C cn0363/cn0363_dma_sequencer
|
||||
make -C axi_ad9625
|
||||
make -C axi_mc_controller
|
||||
make -C axi_ad9234
|
||||
make -C util_cpack
|
||||
make -C axi_hdmi_tx
|
||||
make -C axi_ad9152
|
||||
make -C util_upack
|
||||
make -C axi_ad6676
|
||||
make -C util_gmii_to_rgmii
|
||||
make -C axi_ad9643
|
||||
make -C util_var_fifo
|
||||
make -C axi_ad9434
|
||||
make -C util_fir_int
|
||||
make -C axi_ad7616
|
||||
make -C util_i2c_mixer
|
||||
make -C axi_ad9371
|
||||
make -C util_pmod_adc
|
||||
make -C util_pmod_fmeter
|
||||
make -C axi_spdif_rx
|
||||
make -C axi_mc_current_monitor
|
||||
make -C util_extract
|
||||
make -C util_fir_dec
|
||||
make -C util_mfifo
|
||||
make -C util_cic
|
||||
make -C axi_gpreg
|
||||
make -C axi_usb_fx3
|
||||
make -C axi_dac_interpolate
|
||||
make -C util_axis_fifo
|
||||
make -C axi_ad9652
|
||||
make -C axi_adc_trigger
|
||||
make -C axi_fmcadc5_sync
|
||||
make -C util_bsplit
|
||||
make -C util_clkdiv
|
||||
make -C axi_rd_wr_combiner
|
||||
make -C axi_ad9265
|
||||
make -C axi_spdif_tx
|
||||
make -C axi_ad9680
|
||||
make -C util_tdd_sync
|
||||
make -C axi_logic_analyzer
|
||||
make -C axi_intr_monitor
|
||||
make -C util_dacfifo
|
||||
make -C axi_ad9250
|
||||
make -C axi_ad9162
|
||||
make -C axi_ad9361
|
||||
make -C util_ccat
|
||||
make -C util_rfifo
|
||||
make -C util_sigma_delta_spi
|
||||
make -C axi_dmac
|
||||
make -C axi_clkgen
|
||||
make -C axi_hdmi_rx
|
||||
make -C xilinx/axi_dacfifo
|
||||
make -C xilinx/axi_adcfifo
|
||||
make -C xilinx/axi_adxcvr
|
||||
make -C xilinx/axi_xcvrlb
|
||||
make -C xilinx/util_adxcvr
|
||||
make -C axi_mc_speed
|
||||
make -C util_adcfifo
|
||||
make -C util_axis_resize
|
||||
make -C spi_engine/spi_engine_execution
|
||||
make -C spi_engine/spi_engine_offload
|
||||
make -C spi_engine/axi_spi_engine
|
||||
make -C spi_engine/spi_engine_interconnect
|
||||
make -C axi_ad9684
|
||||
make -C axi_adc_decimate
|
||||
|
||||
-make -C interfaces
|
||||
make -C interfaces
|
||||
|
||||
####################################################################################
|
||||
####################################################################################
|
||||
|
|
|
@ -19,9 +19,9 @@ M_DEPS += ../scripts/adi_env.tcl
|
|||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += ../xilinx/common/ad_mmcm_drp.v
|
||||
M_DEPS += ../xilinx/common/ad_mul.v
|
||||
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
|
||||
M_DEPS += ../xilinx/common/ad_serdes_clk.v
|
||||
M_DEPS += ../xilinx/common/ad_serdes_out.v
|
||||
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
|
||||
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
|
||||
M_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
|
||||
M_DEPS += ../xilinx/common/up_xfer_status_constr.xdc
|
||||
|
|
|
@ -25,13 +25,13 @@ M_DEPS += ../common/up_xfer_status.v
|
|||
M_DEPS += ../scripts/adi_env.tcl
|
||||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += ../xilinx/common/ad_lvds_in.v
|
||||
M_DEPS += ../xilinx/common/ad_lvds_out.v
|
||||
M_DEPS += ../xilinx/common/ad_mul.v
|
||||
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
|
||||
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
|
||||
M_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
|
||||
M_DEPS += ../xilinx/common/up_xfer_status_constr.xdc
|
||||
M_DEPS += axi_ad9963.v
|
||||
M_DEPS += axi_ad9963_constr.xdc
|
||||
M_DEPS += axi_ad9963_if.v
|
||||
M_DEPS += axi_ad9963_ip.tcl
|
||||
M_DEPS += axi_ad9963_rx.v
|
||||
|
|
|
@ -5,7 +5,6 @@
|
|||
####################################################################################
|
||||
####################################################################################
|
||||
|
||||
M_DEPS += ../common/ad_rst.v
|
||||
M_DEPS += ../common/up_axi.v
|
||||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../scripts/adi_env.tcl
|
||||
|
|
|
@ -5,13 +5,13 @@
|
|||
####################################################################################
|
||||
####################################################################################
|
||||
|
||||
M_DEPS += ../common/ad_rst.v
|
||||
M_DEPS += ../common/up_axi.v
|
||||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../scripts/adi_env.tcl
|
||||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += axi_dac_interpolate.v
|
||||
M_DEPS += axi_dac_interpolate_constr.xdc
|
||||
M_DEPS += axi_dac_interpolate_filter.v
|
||||
M_DEPS += axi_dac_interpolate_ip.tcl
|
||||
M_DEPS += axi_dac_interpolate_reg.v
|
||||
M_DEPS += cic_interp.v
|
||||
|
|
|
@ -5,16 +5,13 @@
|
|||
####################################################################################
|
||||
####################################################################################
|
||||
|
||||
M_DEPS += ../common/ad_rst.v
|
||||
M_DEPS += ../common/up_axi.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../scripts/adi_env.tcl
|
||||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += axi_gpreg.v
|
||||
M_DEPS += axi_gpreg_clock_mon.v
|
||||
M_DEPS += axi_gpreg_constr.xdc
|
||||
M_DEPS += axi_gpreg_io.v
|
||||
M_DEPS += axi_gpreg_ip.tcl
|
||||
M_DEPS += ../xilinx/common/ad_lvds_out.v
|
||||
M_DEPS += axi_fmcadc5_sync.v
|
||||
M_DEPS += axi_fmcadc5_sync_constr.xdc
|
||||
M_DEPS += axi_fmcadc5_sync_ip.tcl
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
||||
|
@ -34,7 +31,7 @@ M_FLIST += .Xil
|
|||
|
||||
|
||||
.PHONY: all clean clean-all
|
||||
all: axi_gpreg.xpr
|
||||
all: axi_fmcadc5_sync.xpr
|
||||
|
||||
|
||||
clean:clean-all
|
||||
|
@ -44,9 +41,9 @@ clean-all:
|
|||
rm -rf $(M_FLIST)
|
||||
|
||||
|
||||
axi_gpreg.xpr: $(M_DEPS)
|
||||
axi_fmcadc5_sync.xpr: $(M_DEPS)
|
||||
-rm -rf $(M_FLIST)
|
||||
$(M_VIVADO) axi_gpreg_ip.tcl >> axi_gpreg_ip.log 2>&1
|
||||
$(M_VIVADO) axi_fmcadc5_sync_ip.tcl >> axi_fmcadc5_sync_ip.log 2>&1
|
||||
|
||||
####################################################################################
|
||||
####################################################################################
|
||||
|
|
|
@ -5,7 +5,11 @@
|
|||
####################################################################################
|
||||
####################################################################################
|
||||
|
||||
M_DEPS += ../scripts/adi_env.tcl
|
||||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += axi_rd_wr_combiner.v
|
||||
M_DEPS += axi_rd_wr_combiner_ip.tcl
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
||||
M_FLIST := *.cache
|
||||
|
@ -23,8 +27,8 @@ M_FLIST += .Xil
|
|||
|
||||
|
||||
|
||||
.PHONY: all dep clean clean-all
|
||||
all: dep axi_rd_wr_combiner.xpr
|
||||
.PHONY: all clean clean-all
|
||||
all: axi_rd_wr_combiner.xpr
|
||||
|
||||
|
||||
clean:clean-all
|
||||
|
|
|
@ -7,8 +7,8 @@
|
|||
|
||||
M_DEPS += ../scripts/adi_env.tcl
|
||||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += cic_int.v
|
||||
M_DEPS += cic_comb.v
|
||||
M_DEPS += cic_int.v
|
||||
M_DEPS += util_cic_ip.tcl
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
|
|
@ -7,6 +7,7 @@
|
|||
|
||||
M_DEPS += ../scripts/adi_env.tcl
|
||||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += set_propertyprocessing_orderLATEipx::get_filesutil_clkdiv_constr.xdc
|
||||
M_DEPS += util_clkdiv.v
|
||||
M_DEPS += util_clkdiv_constr.xdc
|
||||
M_DEPS += util_clkdiv_ip.tcl
|
||||
|
|
|
@ -9,7 +9,6 @@ M_DEPS += ../common/ad_mem.v
|
|||
M_DEPS += ../scripts/adi_env.tcl
|
||||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += util_mfifo.v
|
||||
M_DEPS += util_mfifo_constr.xdc
|
||||
M_DEPS += util_mfifo_ip.tcl
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
|
|
@ -5,7 +5,6 @@
|
|||
####################################################################################
|
||||
####################################################################################
|
||||
|
||||
M_DEPS += ../common/ad_mem.v
|
||||
M_DEPS += ../scripts/adi_env.tcl
|
||||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += util_var_fifo.v
|
||||
|
|
|
@ -32,6 +32,8 @@ M_FLIST += *.hw
|
|||
M_FLIST += *.sim
|
||||
M_FLIST += .Xil
|
||||
|
||||
|
||||
|
||||
.PHONY: all clean clean-all
|
||||
all: axi_dacfifo.xpr
|
||||
|
||||
|
|
|
@ -26,6 +26,11 @@ M_DEPS += ../../common/a10soc/a10soc_plddr4_assign.tcl
|
|||
M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg.v
|
||||
M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg_hw.tcl
|
||||
M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl
|
||||
M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo.v
|
||||
M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_constr.sdc
|
||||
M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_hw.tcl
|
||||
M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_rd.v
|
||||
M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_wr.v
|
||||
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v
|
||||
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl
|
||||
M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v
|
||||
|
@ -79,6 +84,7 @@ M_DEPS += ../../../library/common/up_dac_channel.v
|
|||
M_DEPS += ../../../library/common/up_dac_common.v
|
||||
M_DEPS += ../../../library/common/up_xfer_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_xfer_status.v
|
||||
M_DEPS += ../../../library/common/util_dacfifo_bypass.v
|
||||
M_DEPS += ../../../library/scripts/adi_env.tcl
|
||||
M_DEPS += ../../../library/scripts/adi_ip_alt.tcl
|
||||
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.v
|
||||
|
@ -97,12 +103,6 @@ M_DEPS += ../../../library/util_upack/util_upack.v
|
|||
M_DEPS += ../../../library/util_upack/util_upack_dmx.v
|
||||
M_DEPS += ../../../library/util_upack/util_upack_dsf.v
|
||||
M_DEPS += ../../../library/util_upack/util_upack_hw.tcl
|
||||
M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_hw.tcl
|
||||
M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo.v
|
||||
M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_rd.v
|
||||
M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_wr.v
|
||||
M_DEPS += ../../../library/common/util_dacfifo_bypass.v
|
||||
M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_constr.sdc
|
||||
|
||||
|
||||
M_ALTERA := quartus_sh --64bit -t
|
||||
|
|
|
@ -25,6 +25,7 @@ M_DEPS += ../../../library/common/ad_sysref_gen.v
|
|||
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
|
||||
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_fmcadc5_sync/axi_fmcadc5_sync.xpr
|
||||
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
|
||||
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
|
@ -60,6 +61,7 @@ clean-all:clean
|
|||
make -C ../../../library/axi_ad9625 clean
|
||||
make -C ../../../library/xilinx/axi_adxcvr clean
|
||||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_fmcadc5_sync clean
|
||||
make -C ../../../library/util_adcfifo clean
|
||||
make -C ../../../library/xilinx/util_adxcvr clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
|
@ -75,6 +77,7 @@ lib:
|
|||
make -C ../../../library/axi_ad9625
|
||||
make -C ../../../library/xilinx/axi_adxcvr
|
||||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_fmcadc5_sync
|
||||
make -C ../../../library/util_adcfifo
|
||||
make -C ../../../library/xilinx/util_adxcvr
|
||||
make -C ../../../library/util_cpack
|
||||
|
|
|
@ -18,7 +18,6 @@ M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
|
|||
M_DEPS += ../../../library/axi_ad9963/axi_ad9963.xpr
|
||||
M_DEPS += ../../../library/axi_adc_decimate/axi_adc_decimate.xpr
|
||||
M_DEPS += ../../../library/axi_adc_trigger/axi_adc_trigger.xpr
|
||||
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
|
||||
M_DEPS += ../../../library/axi_dac_interpolate/axi_dac_interpolate.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_logic_analyzer/axi_logic_analyzer.xpr
|
||||
|
@ -57,7 +56,6 @@ clean-all:clean
|
|||
make -C ../../../library/axi_ad9963 clean
|
||||
make -C ../../../library/axi_adc_decimate clean
|
||||
make -C ../../../library/axi_adc_trigger clean
|
||||
make -C ../../../library/axi_clkgen clean
|
||||
make -C ../../../library/axi_dac_interpolate clean
|
||||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_logic_analyzer clean
|
||||
|
@ -76,7 +74,6 @@ lib:
|
|||
make -C ../../../library/axi_ad9963
|
||||
make -C ../../../library/axi_adc_decimate
|
||||
make -C ../../../library/axi_adc_trigger
|
||||
make -C ../../../library/axi_clkgen
|
||||
make -C ../../../library/axi_dac_interpolate
|
||||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_logic_analyzer
|
||||
|
|
Loading…
Reference in New Issue