diff --git a/LICENSE b/LICENSE index 69d466ca3..0fd6eda48 100644 --- a/LICENSE +++ b/LICENSE @@ -1,4 +1,3 @@ - In this HDL repository, there are many different and unique modules, consisting of various HDL (Verilog or VHDL) components. The individual modules are developed independently, and may be accompanied by separate and unique license @@ -59,4 +58,3 @@ license. and special avenues for support may be possible. If you are interested in such a license, contact us at jesd204-licensing@analog.com for more information. - diff --git a/LICENSE_ADIBSD b/LICENSE_ADIBSD index 1204a2605..87e2ec405 100644 --- a/LICENSE_ADIBSD +++ b/LICENSE_ADIBSD @@ -1,7 +1,4 @@ - -Copyright 2011 - 2021 (c) Analog Devices, Inc. - -All rights reserved. +Copyright (C) 2011-2023 Analog Devices, Inc. All rights reserved. Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: diff --git a/LICENSE_BSD-1-Clause b/LICENSE_BSD-1-Clause index 0a34f5661..24f2df300 100644 --- a/LICENSE_BSD-1-Clause +++ b/LICENSE_BSD-1-Clause @@ -1,5 +1,4 @@ -Copyright (c) 2011 - 2021 -Analog Devices Inc. +Copyright (C) 2011-2023 Analog Devices Inc. All rights reserved. Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: