cn0540/coraz7s: Relax timing in SPI Engine

main
Istvan Csomortani 2020-08-19 14:36:43 +01:00 committed by István Csomortáni
parent 3bd8b73028
commit d8c98c9904
1 changed files with 4 additions and 0 deletions

View File

@ -23,3 +23,7 @@ set_property -dict {PACKAGE_PIN T14 IOSTANDARD LVCMOS33}
set_property -dict {PACKAGE_PIN P16 IOSTANDARD LVCMOS33} [get_ports cn0540_scl] ; ## CK_SCL
set_property -dict {PACKAGE_PIN P15 IOSTANDARD LVCMOS33} [get_ports cn0540_sda] ; ## CK_SDA
# relax the timing between the SDO FIFO and shift-register
set_multicycle_path 2 -setup -from [get_pins -hierarchical -filter {NAME=~*/i_sdo_fifo/i_mem/m_ram_reg/CLKARDCLK}] -to [get_pins -hierarchical -filter {NAME=~*/data_sdo_shift_reg[*]/D}]
set_multicycle_path 1 -hold -from [get_pins -hierarchical -filter {NAME=~*/i_sdo_fifo/i_mem/m_ram_reg/CLKARDCLK}] -to [get_pins -hierarchical -filter {NAME=~*/data_sdo_shift_reg[*]/D}]