adrv9001/zcu102: Enable independent Tx from Rx in CMOS mode

main
Laszlo Nagy 2021-03-15 15:40:18 +00:00 committed by Laszlo Nagy
parent 08b0d19731
commit d9bc014c98
2 changed files with 3 additions and 1 deletions

View File

@ -53,4 +53,6 @@ set_clock_latency -source -early -0.25 [get_clocks rx2_dclk_out]
set_clock_latency -source -late 0.25 [get_clocks rx1_dclk_out]
set_clock_latency -source -late 0.25 [get_clocks rx2_dclk_out]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets i_system_wrapper/system_i/axi_adrv9001/inst/i_if/i_tx_1_phy/i_dac_clk_in_ibuf/O]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets i_system_wrapper/system_i/axi_adrv9001/inst/i_if/i_tx_2_phy/i_dac_clk_in_ibuf/O]

View File

@ -3,7 +3,7 @@ source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl
source ../common/adrv9001_bd.tcl
source $ad_hdl_dir/projects/scripts/adi_pd.tcl
ad_ip_parameter axi_adrv9001 CONFIG.USE_RX_CLK_FOR_TX 1
ad_ip_parameter axi_adrv9001 CONFIG.USE_RX_CLK_FOR_TX [expr $ad_project_params(CMOS_LVDS_N) == 0]
#system ID
ad_ip_parameter axi_sysid_0 CONFIG.ROM_ADDR_BITS 9