diff --git a/library/axi_fifo2s/axi_fifo2s_constr.xdc b/library/axi_fifo2s/axi_fifo2s_constr.xdc index 2e760eb84..e7ba7f13f 100644 --- a/library/axi_fifo2s/axi_fifo2s_constr.xdc +++ b/library/axi_fifo2s/axi_fifo2s_constr.xdc @@ -1,6 +1,13 @@ -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports m_clk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports axi_clk]] +set_false_path -from [get_cells *dma_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *axi_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] +set_false_path -from [get_cells *axi_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *dma_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] + +set_false_path -from [get_cells *adc_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *axi_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] +set_false_path -from [get_cells *axi_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *adc_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]