From e8ff30119d198aff01f41bbfc8b273040cc59b66 Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Thu, 29 Jan 2015 11:39:10 +0200 Subject: [PATCH] vc707_xdc: Delete unnecessary clock definition --- projects/common/vc707/vc707_system_constr.xdc | 2 -- 1 file changed, 2 deletions(-) diff --git a/projects/common/vc707/vc707_system_constr.xdc b/projects/common/vc707/vc707_system_constr.xdc index 9736f45b2..71a901e2d 100644 --- a/projects/common/vc707/vc707_system_constr.xdc +++ b/projects/common/vc707/vc707_system_constr.xdc @@ -8,8 +8,6 @@ set_property -dict {PACKAGE_PIN AV40 IOSTANDARD LVCMOS18} [get_ports sys_rst set_property -dict {PACKAGE_PIN E19 IOSTANDARD LVDS} [get_ports sys_clk_p] set_property -dict {PACKAGE_PIN E18 IOSTANDARD LVDS} [get_ports sys_clk_n] -create_clock -name sys_clk -period 5.00 [get_ports sys_clk_p] - # ethernet set_property PACKAGE_PIN AN2 [get_ports sgmii_txp]