diff --git a/projects/adv7511/kcu105/Makefile b/projects/adv7511/kcu105/Makefile deleted file mode 100644 index dbb78238f..000000000 --- a/projects/adv7511/kcu105/Makefile +++ /dev/null @@ -1,17 +0,0 @@ -#################################################################################### -## Copyright 2018(c) Analog Devices, Inc. -## Auto-generated, do not modify! -#################################################################################### - -PROJECT_NAME := adv7511_kcu105 - -M_DEPS += ../common/adv7511_bd.tcl -M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc -M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl -M_DEPS += ../../../library/xilinx/common/ad_iobuf.v - -LIB_DEPS += axi_clkgen -LIB_DEPS += axi_hdmi_tx -LIB_DEPS += axi_spdif_tx - -include ../../scripts/project-xilinx.mk diff --git a/projects/adv7511/kcu105/system_bd.tcl b/projects/adv7511/kcu105/system_bd.tcl deleted file mode 100644 index 92ca576a3..000000000 --- a/projects/adv7511/kcu105/system_bd.tcl +++ /dev/null @@ -1,6 +0,0 @@ - -source $ad_hdl_dir/projects/common/kcu105/kcu105_system_bd.tcl -source ../common/adv7511_bd.tcl - -ad_ip_parameter axi_hdmi_core CONFIG.DEVICE_TYPE 1 - diff --git a/projects/adv7511/kcu105/system_constr.xdc b/projects/adv7511/kcu105/system_constr.xdc deleted file mode 100644 index 719f18d93..000000000 --- a/projects/adv7511/kcu105/system_constr.xdc +++ /dev/null @@ -1,34 +0,0 @@ - -# hdmi - -set_property -dict {PACKAGE_PIN AF13 IOSTANDARD LVCMOS18} [get_ports hdmi_out_clk] -set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVCMOS18} [get_ports hdmi_hsync] -set_property -dict {PACKAGE_PIN AH13 IOSTANDARD LVCMOS18} [get_ports hdmi_vsync] -set_property -dict {PACKAGE_PIN AE11 IOSTANDARD LVCMOS18} [get_ports hdmi_data_e] -set_property -dict {PACKAGE_PIN AK11 IOSTANDARD LVCMOS18} [get_ports hdmi_data[0]] -set_property -dict {PACKAGE_PIN AP11 IOSTANDARD LVCMOS18} [get_ports hdmi_data[1]] -set_property -dict {PACKAGE_PIN AP13 IOSTANDARD LVCMOS18} [get_ports hdmi_data[2]] -set_property -dict {PACKAGE_PIN AN13 IOSTANDARD LVCMOS18} [get_ports hdmi_data[3]] -set_property -dict {PACKAGE_PIN AN11 IOSTANDARD LVCMOS18} [get_ports hdmi_data[4]] -set_property -dict {PACKAGE_PIN AM11 IOSTANDARD LVCMOS18} [get_ports hdmi_data[5]] -set_property -dict {PACKAGE_PIN AN12 IOSTANDARD LVCMOS18} [get_ports hdmi_data[6]] -set_property -dict {PACKAGE_PIN AM12 IOSTANDARD LVCMOS18} [get_ports hdmi_data[7]] -set_property -dict {PACKAGE_PIN AL12 IOSTANDARD LVCMOS18} [get_ports hdmi_data[8]] -set_property -dict {PACKAGE_PIN AK12 IOSTANDARD LVCMOS18} [get_ports hdmi_data[9]] -set_property -dict {PACKAGE_PIN AL13 IOSTANDARD LVCMOS18} [get_ports hdmi_data[10]] -set_property -dict {PACKAGE_PIN AK13 IOSTANDARD LVCMOS18} [get_ports hdmi_data[11]] -set_property -dict {PACKAGE_PIN AD11 IOSTANDARD LVCMOS18} [get_ports hdmi_data[12]] -set_property -dict {PACKAGE_PIN AH12 IOSTANDARD LVCMOS18} [get_ports hdmi_data[13]] -set_property -dict {PACKAGE_PIN AG12 IOSTANDARD LVCMOS18} [get_ports hdmi_data[14]] -set_property -dict {PACKAGE_PIN AJ11 IOSTANDARD LVCMOS18} [get_ports hdmi_data[15]] - -# spdif - -set_property -dict {PACKAGE_PIN AE12 IOSTANDARD LVCMOS18} [get_ports spdif] - -# spi -- because the interface is not used, the leaf registers of the output lines -# should be set to IOB FALSE to prevent a CRITICAL WARNING - -set_property IOB FALSE [get_cells i_system_wrapper/system_i/axi_spi/U0/NO_DUAL_QUAD_MODE.QSPI_NORMAL/IO0_I_REG] -set_property IOB FALSE [get_cells i_system_wrapper/system_i/axi_spi/U0/NO_DUAL_QUAD_MODE.QSPI_NORMAL/IO1_I_REG] - diff --git a/projects/adv7511/kcu105/system_project.tcl b/projects/adv7511/kcu105/system_project.tcl deleted file mode 100644 index 29a43e30d..000000000 --- a/projects/adv7511/kcu105/system_project.tcl +++ /dev/null @@ -1,15 +0,0 @@ - -source ../../scripts/adi_env.tcl -source $ad_hdl_dir/projects/scripts/adi_project.tcl -source $ad_hdl_dir/projects/scripts/adi_board.tcl - -adi_project_xilinx adv7511_kcu105 -adi_project_files adv7511_kcu105 [list \ - "system_top.v" \ - "system_constr.xdc"\ - "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ - "$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" ] - -adi_project_run adv7511_kcu105 - - diff --git a/projects/adv7511/kcu105/system_top.v b/projects/adv7511/kcu105/system_top.v deleted file mode 100644 index e90e68029..000000000 --- a/projects/adv7511/kcu105/system_top.v +++ /dev/null @@ -1,175 +0,0 @@ -// *************************************************************************** -// *************************************************************************** -// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -// -// In this HDL repository, there are many different and unique modules, consisting -// of various HDL (Verilog or VHDL) components. The individual modules are -// developed independently, and may be accompanied by separate and unique license -// terms. -// -// The user should read each of these license terms, and understand the -// freedoms and responsibilities that he or she has by using this source/core. -// -// This core is distributed in the hope that it will be useful, but WITHOUT ANY -// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -// A PARTICULAR PURPOSE. -// -// Redistribution and use of source or resulting binaries, with or without modification -// of this file, are permitted under one of the following two license terms: -// -// 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory -// of this repository (LICENSE_GPL2), and also online at: -// -// -// OR -// -// 2. An ADI specific BSD license, which can be found in the top level directory -// of this repository (LICENSE_ADIBSD), and also on-line at: -// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -// This will allow to generate bit files and not release the source code, -// as long as it attaches to an ADI device. -// -// *************************************************************************** -// *************************************************************************** - -`timescale 1ns/100ps - -module system_top ( - - input sys_rst, - input sys_clk_p, - input sys_clk_n, - - input uart_sin, - output uart_sout, - - output ddr4_act_n, - output [16:0] ddr4_addr, - output [ 1:0] ddr4_ba, - output [ 0:0] ddr4_bg, - output ddr4_ck_p, - output ddr4_ck_n, - output [ 0:0] ddr4_cke, - output [ 0:0] ddr4_cs_n, - inout [ 7:0] ddr4_dm_n, - inout [63:0] ddr4_dq, - inout [ 7:0] ddr4_dqs_p, - inout [ 7:0] ddr4_dqs_n, - output [ 0:0] ddr4_odt, - output ddr4_reset_n, - - output mdio_mdc, - inout mdio_mdio, - input phy_clk_p, - input phy_clk_n, - output phy_rst_n, - input phy_rx_p, - input phy_rx_n, - output phy_tx_p, - output phy_tx_n, - - output fan_pwm, - - inout [16:0] gpio_bd, - - inout iic_scl, - inout iic_sda, - - output hdmi_out_clk, - output hdmi_hsync, - output hdmi_vsync, - output hdmi_data_e, - output [15:0] hdmi_data, - - output spdif); - - // internal signals - - wire [63:0] gpio_i; - wire [63:0] gpio_o; - wire [63:0] gpio_t; - - // default logic - - assign fan_pwm = 1'b1; - assign gpio_i[63:17] = gpio_o[63:17]; - - // instantiations - - ad_iobuf #(.DATA_WIDTH(17)) i_iobuf_bd ( - .dio_t (gpio_t[16:0]), - .dio_i (gpio_o[16:0]), - .dio_o (gpio_i[16:0]), - .dio_p (gpio_bd)); - - system_wrapper i_system_wrapper ( - .c0_ddr4_act_n (ddr4_act_n), - .c0_ddr4_adr (ddr4_addr), - .c0_ddr4_ba (ddr4_ba), - .c0_ddr4_bg (ddr4_bg), - .c0_ddr4_ck_c (ddr4_ck_n), - .c0_ddr4_ck_t (ddr4_ck_p), - .c0_ddr4_cke (ddr4_cke), - .c0_ddr4_cs_n (ddr4_cs_n), - .c0_ddr4_dm_n (ddr4_dm_n), - .c0_ddr4_dq (ddr4_dq), - .c0_ddr4_dqs_c (ddr4_dqs_n), - .c0_ddr4_dqs_t (ddr4_dqs_p), - .c0_ddr4_odt (ddr4_odt), - .c0_ddr4_reset_n (ddr4_reset_n), - .gpio0_i (gpio_i[31:0]), - .gpio0_o (gpio_o[31:0]), - .gpio0_t (gpio_t[31:0]), - .gpio1_i (gpio_i[63:32]), - .gpio1_o (gpio_o[63:32]), - .gpio1_t (gpio_t[63:32]), - .hdmi_16_data (hdmi_data), - .hdmi_16_data_e (hdmi_data_e), - .hdmi_16_hsync (hdmi_hsync), - .hdmi_16_vsync (hdmi_vsync), - .hdmi_24_data (), - .hdmi_24_data_e (), - .hdmi_24_hsync (), - .hdmi_24_vsync (), - .hdmi_36_data (), - .hdmi_36_data_e (), - .hdmi_36_hsync (), - .hdmi_36_vsync (), - .hdmi_out_clk (hdmi_out_clk), - .iic_main_scl_io (iic_scl), - .iic_main_sda_io (iic_sda), - .mb_intr_05 (1'b0), - .mb_intr_06 (1'b0), - .mb_intr_12 (1'b0), - .mb_intr_13 (1'b0), - .mb_intr_14 (1'b0), - .mb_intr_15 (1'b0), - .spi_clk_i (1'b0), - .spi_clk_o (), - .spi_csn_i (1'b1), - .spi_csn_o (), - .spi_sdi_i (1'b0), - .spi_sdo_i (1'b0), - .spi_sdo_o (), - .mdio_mdc (mdio_mdc), - .mdio_mdio_io (mdio_mdio), - .phy_clk_clk_n (phy_clk_n), - .phy_clk_clk_p (phy_clk_p), - .phy_rst_n (phy_rst_n), - .phy_sd (1'b1), - .sgmii_rxn (phy_rx_n), - .sgmii_rxp (phy_rx_p), - .sgmii_txn (phy_tx_n), - .sgmii_txp (phy_tx_p), - .spdif (spdif), - .sys_clk_clk_n (sys_clk_n), - .sys_clk_clk_p (sys_clk_p), - .sys_rst (sys_rst), - .uart_sin (uart_sin), - .uart_sout (uart_sout)); - -endmodule - -// *************************************************************************** -// ***************************************************************************