diff --git a/projects/ad6676evb/vc707/system_top.v b/projects/ad6676evb/vc707/system_top.v index f7da72e8d..ba4a93fd6 100644 --- a/projects/ad6676evb/vc707/system_top.v +++ b/projects/ad6676evb/vc707/system_top.v @@ -207,11 +207,6 @@ module system_top ( .linear_flash_dq_io (linear_flash_dq_io), .linear_flash_oen (linear_flash_oen), .linear_flash_wen (linear_flash_wen), - .mb_intr_06 (1'b0), - .mb_intr_07 (1'b0), - .mb_intr_08 (1'b0), - .mb_intr_14 (1'b0), - .mb_intr_15 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .mgt_clk_clk_n (mgt_clk_n), diff --git a/projects/ad6676evb/zc706/system_top.v b/projects/ad6676evb/zc706/system_top.v index c9d8afc55..a8dba5dca 100644 --- a/projects/ad6676evb/zc706/system_top.v +++ b/projects/ad6676evb/zc706/system_top.v @@ -201,18 +201,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), .rx_data_0_n (rx_data_n[0]), .rx_data_0_p (rx_data_p[0]), .rx_data_1_n (rx_data_n[1]), diff --git a/projects/ad9265_fmc/zc706/system_top.v b/projects/ad9265_fmc/zc706/system_top.v index 1e92b81ae..0933e5b8e 100644 --- a/projects/ad9265_fmc/zc706/system_top.v +++ b/projects/ad9265_fmc/zc706/system_top.v @@ -155,19 +155,6 @@ system_wrapper i_system_wrapper ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), - .ps_intr_12 (1'b0), .spdif (spdif), .adc_clk_in_n(adc_clk_in_n), .adc_clk_in_p(adc_clk_in_p), diff --git a/projects/ad9434_fmc/zc706/system_top.v b/projects/ad9434_fmc/zc706/system_top.v index b5895b246..3bcf4b5b9 100644 --- a/projects/ad9434_fmc/zc706/system_top.v +++ b/projects/ad9434_fmc/zc706/system_top.v @@ -149,19 +149,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), - .ps_intr_12 (1'b0), .spdif (spdif), .adc_clk_p(adc_clk_p), .adc_clk_n(adc_clk_n), diff --git a/projects/ad9467_fmc/kc705/system_top.v b/projects/ad9467_fmc/kc705/system_top.v index 614b14f53..696270282 100644 --- a/projects/ad9467_fmc/kc705/system_top.v +++ b/projects/ad9467_fmc/kc705/system_top.v @@ -158,14 +158,6 @@ module system_top ( .gpio1_i (gpio_i[63:32]), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .mb_intr_02 (1'b0), - .mb_intr_03 (1'b0), - .mb_intr_06 (1'b0), - .mb_intr_07 (1'b0), - .mb_intr_08 (1'b0), - .mb_intr_13 (1'b0), - .mb_intr_14 (1'b0), - .mb_intr_15 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .mii_col (mii_col), diff --git a/projects/ad9467_fmc/zed/system_top.v b/projects/ad9467_fmc/zed/system_top.v index 975ac31f5..b781f5d7e 100644 --- a/projects/ad9467_fmc/zed/system_top.v +++ b/projects/ad9467_fmc/zed/system_top.v @@ -181,18 +181,6 @@ system_wrapper i_system_wrapper ( .iic_mux_sda_i (iic_mux_sda_i_s), .iic_mux_sda_o (iic_mux_sda_o_s), .iic_mux_sda_t (iic_mux_sda_t_s), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_12 (1'b0), .otg_vbusoc (otg_vbusoc), .spdif (spdif), .adc_clk_in_n(adc_clk_in_n), diff --git a/projects/ad9739a_fmc/zc706/system_top.v b/projects/ad9739a_fmc/zc706/system_top.v index ec67c8822..505c60a37 100644 --- a/projects/ad9739a_fmc/zc706/system_top.v +++ b/projects/ad9739a_fmc/zc706/system_top.v @@ -160,19 +160,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), - .ps_intr_13 (1'b0), .spdif (spdif), .spi0_clk_i (spi0_clk), .spi0_clk_o (spi0_clk), diff --git a/projects/adrv9009/zcu102/system_top.v b/projects/adrv9009/zcu102/system_top.v index b8770ccb5..d7e6fe186 100644 --- a/projects/adrv9009/zcu102/system_top.v +++ b/projects/adrv9009/zcu102/system_top.v @@ -216,16 +216,6 @@ module system_top ( .gpio_i (gpio_i), .gpio_o (gpio_o), .gpio_t (gpio_t), - .ps_intr_00 (1'd0), - .ps_intr_01 (1'd0), - .ps_intr_02 (1'd0), - .ps_intr_03 (1'd0), - .ps_intr_04 (1'd0), - .ps_intr_05 (1'd0), - .ps_intr_06 (1'd0), - .ps_intr_07 (1'd0), - .ps_intr_14 (1'd0), - .ps_intr_15 (1'd0), .rx_data_0_n (rx_data_n[0]), .rx_data_0_p (rx_data_p[0]), .rx_data_1_n (rx_data_n[1]), diff --git a/projects/adrv9361z7035/ccbob_cmos/system_top.v b/projects/adrv9361z7035/ccbob_cmos/system_top.v index e4a4872ff..4fed89339 100644 --- a/projects/adrv9361z7035/ccbob_cmos/system_top.v +++ b/projects/adrv9361z7035/ccbob_cmos/system_top.v @@ -196,18 +196,6 @@ module system_top ( .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), .otg_vbusoc (1'b0), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_15 (1'b0), .rx_clk_in (rx_clk_in), .rx_data_in (rx_data_in), .rx_frame_in (rx_frame_in), diff --git a/projects/adrv9361z7035/ccbob_lvds/system_top.v b/projects/adrv9361z7035/ccbob_lvds/system_top.v index 589b85ce7..32fd48de3 100644 --- a/projects/adrv9361z7035/ccbob_lvds/system_top.v +++ b/projects/adrv9361z7035/ccbob_lvds/system_top.v @@ -200,18 +200,6 @@ module system_top ( .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), .otg_vbusoc (1'b0), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_15 (1'b0), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), .rx_data_in_n (rx_data_in_n), diff --git a/projects/adrv9361z7035/ccbox_lvds/system_top.v b/projects/adrv9361z7035/ccbox_lvds/system_top.v index 61bef3ecb..49883287c 100644 --- a/projects/adrv9361z7035/ccbox_lvds/system_top.v +++ b/projects/adrv9361z7035/ccbox_lvds/system_top.v @@ -262,7 +262,7 @@ module system_top ( assign gpio_i[55:53] = gpio_o[55:53]; // rf & clock-select gpio - 52:51 - + ad_iobuf #(.DATA_WIDTH(2)) i_iobuf_rf_1 ( .dio_t (gpio_t[52:51]), .dio_i (gpio_o[52:51]), @@ -271,7 +271,7 @@ module system_top ( gpio_clksel})); // 51:51 // tact-scroll-wheel gpio - 50:47 - + ad_iobuf #(.DATA_WIDTH(4)) i_iobuf_tsw_1 ( .dio_t (gpio_t[50:47]), .dio_i (gpio_o[50:47]), @@ -282,7 +282,7 @@ module system_top ( tsw_s5})); // 47 // ad9361 gpio - 46:32 - + ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_ad9361 ( .dio_t (gpio_t[46:32]), .dio_i (gpio_o[46:32]), @@ -341,18 +341,6 @@ module system_top ( .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), .otg_vbusoc (1'b0), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_15 (1'b0), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), .rx_data_in_n (rx_data_in_n), diff --git a/projects/adrv9361z7035/ccfmc_lvds/system_top.v b/projects/adrv9361z7035/ccfmc_lvds/system_top.v index b4d49445e..c12fabb35 100644 --- a/projects/adrv9361z7035/ccfmc_lvds/system_top.v +++ b/projects/adrv9361z7035/ccfmc_lvds/system_top.v @@ -185,7 +185,7 @@ module system_top ( assign ad9517_csn = spi_csn_s[1]; assign ad9517_clk = spi_clk_s; assign ad9517_mosi = spi_mosi_s; - assign spi_miso_s = (~spi_csn_s[0] & spi_miso) | (~spi_csn_s[1] & ad9517_miso); + assign spi_miso_s = (~spi_csn_s[0] & spi_miso) | (~spi_csn_s[1] & ad9517_miso); // loopback signals @@ -240,7 +240,7 @@ module system_top ( assign gpio_i[63:61] = gpio_o[63:61]; // rf & ad9517 gpio - 60:56 - + ad_iobuf #(.DATA_WIDTH(5)) i_iobuf ( .dio_t (gpio_t[60:56]), .dio_i (gpio_o[60:56]), @@ -252,11 +252,11 @@ module system_top ( gpio_rf0})); // 56:56 // unused gpio - 55:53 - + assign gpio_i[55:53] = gpio_o[55:53]; // rf & clock-select gpio - 52:51 - + ad_iobuf #(.DATA_WIDTH(2)) i_iobuf_rf_1 ( .dio_t (gpio_t[52:51]), .dio_i (gpio_o[52:51]), @@ -265,11 +265,11 @@ module system_top ( gpio_clksel})); // 51:51 // unused gpio - 50:47 - + assign gpio_i[50:47] = gpio_o[50:47]; // ad9361 gpio - 46:32 - + ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_ad9361 ( .dio_t (gpio_t[46:32]), .dio_i (gpio_o[46:32]), @@ -354,17 +354,6 @@ module system_top ( .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), .otg_vbusoc (1'b0), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), .rx_data_in_n (rx_data_in_n), diff --git a/projects/adrv9364z7020/ccbob_cmos/system_top.v b/projects/adrv9364z7020/ccbob_cmos/system_top.v index 93a65e4ad..e43acf2bc 100644 --- a/projects/adrv9364z7020/ccbob_cmos/system_top.v +++ b/projects/adrv9364z7020/ccbob_cmos/system_top.v @@ -169,18 +169,6 @@ module system_top ( .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), .otg_vbusoc (1'b0), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_15 (1'b0), .rx_clk_in (rx_clk_in), .rx_data_in (rx_data_in), .rx_frame_in (rx_frame_in), diff --git a/projects/adrv9364z7020/ccbob_lvds/system_top.v b/projects/adrv9364z7020/ccbob_lvds/system_top.v index 59739c368..a5c091066 100644 --- a/projects/adrv9364z7020/ccbob_lvds/system_top.v +++ b/projects/adrv9364z7020/ccbob_lvds/system_top.v @@ -173,18 +173,6 @@ module system_top ( .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), .otg_vbusoc (1'b0), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_15 (1'b0), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), .rx_data_in_n (rx_data_in_n), diff --git a/projects/adrv9364z7020/ccbox_lvds/system_top.v b/projects/adrv9364z7020/ccbox_lvds/system_top.v index a8f06a960..6aa688550 100644 --- a/projects/adrv9364z7020/ccbox_lvds/system_top.v +++ b/projects/adrv9364z7020/ccbox_lvds/system_top.v @@ -255,7 +255,7 @@ module system_top ( assign gpio_i[55:53] = gpio_o[55:53]; // rf & clock-select gpio - 52:51 - + ad_iobuf #(.DATA_WIDTH(2)) i_iobuf_rf_1 ( .dio_t (gpio_t[52:51]), .dio_i (gpio_o[52:51]), @@ -275,7 +275,7 @@ module system_top ( tsw_s5})); // 47 // ad9361 gpio - 46:32 - + ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_ad9361 ( .dio_t (gpio_t[46:32]), .dio_i (gpio_o[46:32]), @@ -334,18 +334,6 @@ module system_top ( .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), .otg_vbusoc (1'b0), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_15 (1'b0), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), .rx_data_in_n (rx_data_in_n), diff --git a/projects/adrv9371x/kcu105/system_top.v b/projects/adrv9371x/kcu105/system_top.v index 2c8c217bf..0b500bd97 100644 --- a/projects/adrv9371x/kcu105/system_top.v +++ b/projects/adrv9371x/kcu105/system_top.v @@ -248,8 +248,6 @@ module system_top ( .gpio1_t (gpio_t[63:32]), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .mb_intr_05 (1'b0), - .mb_intr_06 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .phy_clk_clk_n (phy_clk_n), diff --git a/projects/adrv9371x/zc706/system_top.v b/projects/adrv9371x/zc706/system_top.v index b5fc68eec..6611e9621 100644 --- a/projects/adrv9371x/zc706/system_top.v +++ b/projects/adrv9371x/zc706/system_top.v @@ -281,14 +281,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), .rx_data_0_n (rx_data_n[0]), .rx_data_0_p (rx_data_p[0]), .rx_data_1_n (rx_data_n[1]), diff --git a/projects/adrv9371x/zcu102/system_top.v b/projects/adrv9371x/zcu102/system_top.v index 559ce9efe..7b28066ba 100644 --- a/projects/adrv9371x/zcu102/system_top.v +++ b/projects/adrv9371x/zcu102/system_top.v @@ -196,16 +196,6 @@ module system_top ( .gpio_i (gpio_i), .gpio_o (gpio_o), .gpio_t (gpio_t), - .ps_intr_00 (1'd0), - .ps_intr_01 (1'd0), - .ps_intr_02 (1'd0), - .ps_intr_03 (1'd0), - .ps_intr_04 (1'd0), - .ps_intr_05 (1'd0), - .ps_intr_06 (1'd0), - .ps_intr_07 (1'd0), - .ps_intr_14 (1'd0), - .ps_intr_15 (1'd0), .rx_data_0_n (rx_data_n[0]), .rx_data_0_p (rx_data_p[0]), .rx_data_1_n (rx_data_n[1]), diff --git a/projects/adv7511/zc702/system_top.v b/projects/adv7511/zc702/system_top.v index 903cd7b8d..5ac84c59e 100644 --- a/projects/adv7511/zc702/system_top.v +++ b/projects/adv7511/zc702/system_top.v @@ -119,20 +119,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), - .ps_intr_12 (1'b0), - .ps_intr_13 (1'b0), .spdif (spdif)); endmodule diff --git a/projects/adv7511/zc706/system_top.v b/projects/adv7511/zc706/system_top.v index 1ef1f4d1f..0270641d0 100644 --- a/projects/adv7511/zc706/system_top.v +++ b/projects/adv7511/zc706/system_top.v @@ -121,20 +121,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), - .ps_intr_12 (1'b0), - .ps_intr_13 (1'b0), .spdif (spdif)); endmodule diff --git a/projects/adv7511/zed/system_top.v b/projects/adv7511/zed/system_top.v index b7d6e35e3..cee49ec7c 100644 --- a/projects/adv7511/zed/system_top.v +++ b/projects/adv7511/zed/system_top.v @@ -166,19 +166,6 @@ module system_top ( .iic_mux_sda_i (iic_mux_sda_i_s), .iic_mux_sda_o (iic_mux_sda_o_s), .iic_mux_sda_t (iic_mux_sda_t_s), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_12 (1'b0), - .ps_intr_13 (1'b0), .otg_vbusoc (otg_vbusoc), .spdif (spdif), .spi0_clk_i (1'b0), diff --git a/projects/cn0363/zed/system_top.v b/projects/cn0363/zed/system_top.v index 4a85dbacb..3ebe27cc8 100644 --- a/projects/cn0363/zed/system_top.v +++ b/projects/cn0363/zed/system_top.v @@ -194,17 +194,6 @@ module system_top ( .iic_mux_sda_i (iic_mux_sda_i_s), .iic_mux_sda_o (iic_mux_sda_o_s), .iic_mux_sda_t (iic_mux_sda_t_s), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), .spi_sdo (spi_sdo_o), .spi_sdo_t (spi_sdo_t), .spi_sdi (spi_sdi), diff --git a/projects/common/ac701/ac701_system_bd.tcl b/projects/common/ac701/ac701_system_bd.tcl index 61d54c5ff..b74b04949 100644 --- a/projects/common/ac701/ac701_system_bd.tcl +++ b/projects/common/ac701/ac701_system_bd.tcl @@ -31,16 +31,6 @@ create_bd_port -dir I -from 31 -to 0 gpio1_i create_bd_port -dir O -from 31 -to 0 gpio1_o create_bd_port -dir O -from 31 -to 0 gpio1_t -# interrupts - -create_bd_port -dir I -type intr mb_intr_06 -create_bd_port -dir I -type intr mb_intr_07 -create_bd_port -dir I -type intr mb_intr_08 -create_bd_port -dir I -type intr mb_intr_12 -create_bd_port -dir I -type intr mb_intr_13 -create_bd_port -dir I -type intr mb_intr_14 -create_bd_port -dir I -type intr mb_intr_15 - # io settings set_property -dict [list CONFIG.POLARITY {ACTIVE_HIGH}] [get_bd_ports sys_rst] @@ -177,16 +167,16 @@ ad_connect sys_concat_intc/In2 axi_ethernet_dma/mm2s_introut ad_connect sys_concat_intc/In3 axi_ethernet_dma/s2mm_introut ad_connect sys_concat_intc/In4 axi_uart/interrupt ad_connect sys_concat_intc/In5 axi_gpio_lcd/ip2intc_irpt -ad_connect sys_concat_intc/In6 mb_intr_06 -ad_connect sys_concat_intc/In7 mb_intr_07 -ad_connect sys_concat_intc/In8 mb_intr_08 +ad_connect sys_concat_intc/In6 GND +ad_connect sys_concat_intc/In7 GND +ad_connect sys_concat_intc/In8 GND ad_connect sys_concat_intc/In9 axi_iic_main/iic2intc_irpt ad_connect sys_concat_intc/In10 axi_spi/ip2intc_irpt ad_connect sys_concat_intc/In11 axi_gpio/ip2intc_irpt -ad_connect sys_concat_intc/In12 mb_intr_12 -ad_connect sys_concat_intc/In13 mb_intr_13 -ad_connect sys_concat_intc/In14 mb_intr_14 -ad_connect sys_concat_intc/In15 mb_intr_15 +ad_connect sys_concat_intc/In12 GND +ad_connect sys_concat_intc/In13 GND +ad_connect sys_concat_intc/In14 GND +ad_connect sys_concat_intc/In15 GND # defaults (external interface) diff --git a/projects/common/kc705/kc705_system_bd.tcl b/projects/common/kc705/kc705_system_bd.tcl index c1976602a..c365d9186 100644 --- a/projects/common/kc705/kc705_system_bd.tcl +++ b/projects/common/kc705/kc705_system_bd.tcl @@ -35,18 +35,6 @@ create_bd_port -dir I -from 31 -to 0 gpio1_i create_bd_port -dir O -from 31 -to 0 gpio1_o create_bd_port -dir O -from 31 -to 0 gpio1_t -# interrupts - -create_bd_port -dir I -type intr mb_intr_02 -create_bd_port -dir I -type intr mb_intr_03 -create_bd_port -dir I -type intr mb_intr_06 -create_bd_port -dir I -type intr mb_intr_07 -create_bd_port -dir I -type intr mb_intr_08 -create_bd_port -dir I -type intr mb_intr_12 -create_bd_port -dir I -type intr mb_intr_13 -create_bd_port -dir I -type intr mb_intr_14 -create_bd_port -dir I -type intr mb_intr_15 - # io settings set_property -dict [list CONFIG.POLARITY {ACTIVE_HIGH}] [get_bd_ports sys_rst] @@ -189,20 +177,20 @@ ad_connect sys_cpu_clk axi_spi/ext_spi_clk ad_connect sys_concat_intc/In0 axi_timer/interrupt ad_connect sys_concat_intc/In1 axi_ethernet/ip2intc_irpt -ad_connect sys_concat_intc/In2 mb_intr_02 -ad_connect sys_concat_intc/In3 mb_intr_03 +ad_connect sys_concat_intc/In2 GND +ad_connect sys_concat_intc/In3 GND ad_connect sys_concat_intc/In4 axi_uart/interrupt ad_connect sys_concat_intc/In5 axi_gpio_lcd/ip2intc_irpt -ad_connect sys_concat_intc/In6 mb_intr_06 -ad_connect sys_concat_intc/In7 mb_intr_07 -ad_connect sys_concat_intc/In8 mb_intr_08 +ad_connect sys_concat_intc/In6 GND +ad_connect sys_concat_intc/In7 GND +ad_connect sys_concat_intc/In8 GND ad_connect sys_concat_intc/In9 axi_iic_main/iic2intc_irpt ad_connect sys_concat_intc/In10 axi_spi/ip2intc_irpt ad_connect sys_concat_intc/In11 axi_gpio/ip2intc_irpt -ad_connect sys_concat_intc/In12 mb_intr_12 -ad_connect sys_concat_intc/In13 mb_intr_13 -ad_connect sys_concat_intc/In14 mb_intr_14 -ad_connect sys_concat_intc/In15 mb_intr_15 +ad_connect sys_concat_intc/In12 GND +ad_connect sys_concat_intc/In13 GND +ad_connect sys_concat_intc/In14 GND +ad_connect sys_concat_intc/In15 GND # defaults (external interface) diff --git a/projects/common/kcu105/kcu105_system_bd.tcl b/projects/common/kcu105/kcu105_system_bd.tcl index 4c1742472..a07cde09c 100644 --- a/projects/common/kcu105/kcu105_system_bd.tcl +++ b/projects/common/kcu105/kcu105_system_bd.tcl @@ -32,17 +32,6 @@ create_bd_port -dir I -from 31 -to 0 gpio1_i create_bd_port -dir O -from 31 -to 0 gpio1_o create_bd_port -dir O -from 31 -to 0 gpio1_t -# interrupts - -create_bd_port -dir I -type intr mb_intr_05 -create_bd_port -dir I -type intr mb_intr_06 -create_bd_port -dir I -type intr mb_intr_07 -create_bd_port -dir I -type intr mb_intr_08 -create_bd_port -dir I -type intr mb_intr_12 -create_bd_port -dir I -type intr mb_intr_13 -create_bd_port -dir I -type intr mb_intr_14 -create_bd_port -dir I -type intr mb_intr_15 - # io settings set_property -dict [list CONFIG.POLARITY {ACTIVE_HIGH}] [get_bd_ports sys_rst] @@ -216,17 +205,17 @@ ad_connect sys_concat_intc/In1 axi_ethernet/interrupt ad_connect sys_concat_intc/In2 axi_ethernet_dma/mm2s_introut ad_connect sys_concat_intc/In3 axi_ethernet_dma/s2mm_introut ad_connect sys_concat_intc/In4 axi_uart/interrupt -ad_connect sys_concat_intc/In5 mb_intr_05 -ad_connect sys_concat_intc/In6 mb_intr_06 -ad_connect sys_concat_intc/In7 mb_intr_07 -ad_connect sys_concat_intc/In8 mb_intr_08 +ad_connect sys_concat_intc/In5 GND +ad_connect sys_concat_intc/In6 GND +ad_connect sys_concat_intc/In7 GND +ad_connect sys_concat_intc/In8 GND ad_connect sys_concat_intc/In9 axi_iic_main/iic2intc_irpt ad_connect sys_concat_intc/In10 axi_spi/ip2intc_irpt ad_connect sys_concat_intc/In11 axi_gpio/ip2intc_irpt -ad_connect sys_concat_intc/In12 mb_intr_12 -ad_connect sys_concat_intc/In13 mb_intr_13 -ad_connect sys_concat_intc/In14 mb_intr_14 -ad_connect sys_concat_intc/In15 mb_intr_15 +ad_connect sys_concat_intc/In12 GND +ad_connect sys_concat_intc/In13 GND +ad_connect sys_concat_intc/In14 GND +ad_connect sys_concat_intc/In15 GND # interconnect - processor diff --git a/projects/common/microzed/microzed_system_bd.tcl b/projects/common/microzed/microzed_system_bd.tcl index c14aefc2a..fbfc2a34c 100644 --- a/projects/common/microzed/microzed_system_bd.tcl +++ b/projects/common/microzed/microzed_system_bd.tcl @@ -52,25 +52,6 @@ ad_ip_parameter sys_concat_intc CONFIG.NUM_PORTS 16 ad_ip_instance proc_sys_reset sys_rstgen ad_ip_parameter sys_rstgen CONFIG.C_EXT_RST_WIDTH 1 -# interrupts - -create_bd_port -dir I -type intr ps_intr_00 -create_bd_port -dir I -type intr ps_intr_01 -create_bd_port -dir I -type intr ps_intr_02 -create_bd_port -dir I -type intr ps_intr_03 -create_bd_port -dir I -type intr ps_intr_04 -create_bd_port -dir I -type intr ps_intr_05 -create_bd_port -dir I -type intr ps_intr_06 -create_bd_port -dir I -type intr ps_intr_07 -create_bd_port -dir I -type intr ps_intr_08 -create_bd_port -dir I -type intr ps_intr_09 -create_bd_port -dir I -type intr ps_intr_10 -create_bd_port -dir I -type intr ps_intr_11 -create_bd_port -dir I -type intr ps_intr_12 -create_bd_port -dir I -type intr ps_intr_13 -create_bd_port -dir I -type intr ps_intr_14 -create_bd_port -dir I -type intr ps_intr_15 - # system reset/clock definitions ad_connect sys_cpu_clk sys_ps7/FCLK_CLK0 @@ -113,19 +94,19 @@ ad_connect spi1_sdi_i sys_ps7/SPI1_MISO_I # interrupts ad_connect sys_concat_intc/dout sys_ps7/IRQ_F2P -ad_connect sys_concat_intc/In15 ps_intr_15 -ad_connect sys_concat_intc/In14 ps_intr_14 -ad_connect sys_concat_intc/In13 ps_intr_13 -ad_connect sys_concat_intc/In12 ps_intr_12 -ad_connect sys_concat_intc/In11 ps_intr_11 -ad_connect sys_concat_intc/In10 ps_intr_10 -ad_connect sys_concat_intc/In9 ps_intr_09 -ad_connect sys_concat_intc/In8 ps_intr_08 -ad_connect sys_concat_intc/In7 ps_intr_07 -ad_connect sys_concat_intc/In6 ps_intr_06 -ad_connect sys_concat_intc/In5 ps_intr_05 -ad_connect sys_concat_intc/In4 ps_intr_04 -ad_connect sys_concat_intc/In3 ps_intr_03 -ad_connect sys_concat_intc/In2 ps_intr_02 -ad_connect sys_concat_intc/In1 ps_intr_01 -ad_connect sys_concat_intc/In0 ps_intr_00 +ad_connect sys_concat_intc/In15 GND +ad_connect sys_concat_intc/In14 GND +ad_connect sys_concat_intc/In13 GND +ad_connect sys_concat_intc/In12 GND +ad_connect sys_concat_intc/In11 GND +ad_connect sys_concat_intc/In10 GND +ad_connect sys_concat_intc/In9 GND +ad_connect sys_concat_intc/In8 GND +ad_connect sys_concat_intc/In7 GND +ad_connect sys_concat_intc/In6 GND +ad_connect sys_concat_intc/In5 GND +ad_connect sys_concat_intc/In4 GND +ad_connect sys_concat_intc/In3 GND +ad_connect sys_concat_intc/In2 GND +ad_connect sys_concat_intc/In1 GND +ad_connect sys_concat_intc/In0 GND diff --git a/projects/common/mitx045/mitx045_system_bd.tcl b/projects/common/mitx045/mitx045_system_bd.tcl index 9f80b76c6..d6dfdd588 100644 --- a/projects/common/mitx045/mitx045_system_bd.tcl +++ b/projects/common/mitx045/mitx045_system_bd.tcl @@ -47,23 +47,6 @@ create_bd_intf_port -mode Master -vlnv analog.com:interface:i2s_rtl:1.0 i2s create_bd_port -dir O spdif -# interrupts - -create_bd_port -dir I -type intr ps_intr_00 -create_bd_port -dir I -type intr ps_intr_01 -create_bd_port -dir I -type intr ps_intr_02 -create_bd_port -dir I -type intr ps_intr_03 -create_bd_port -dir I -type intr ps_intr_04 -create_bd_port -dir I -type intr ps_intr_05 -create_bd_port -dir I -type intr ps_intr_06 -create_bd_port -dir I -type intr ps_intr_07 -create_bd_port -dir I -type intr ps_intr_08 -create_bd_port -dir I -type intr ps_intr_09 -create_bd_port -dir I -type intr ps_intr_10 -create_bd_port -dir I -type intr ps_intr_11 -create_bd_port -dir I -type intr ps_intr_12 -create_bd_port -dir I -type intr ps_intr_13 - # instance: sys_ps7 ad_ip_instance processing_system7 sys_ps7 @@ -218,20 +201,20 @@ ad_connect i2s axi_i2s_adi/I2S ad_connect sys_concat_intc/dout sys_ps7/IRQ_F2P ad_connect sys_concat_intc/In15 axi_hdmi_dma/mm2s_introut ad_connect sys_concat_intc/In14 axi_iic_main/iic2intc_irpt -ad_connect sys_concat_intc/In13 ps_intr_13 -ad_connect sys_concat_intc/In12 ps_intr_12 -ad_connect sys_concat_intc/In11 ps_intr_11 -ad_connect sys_concat_intc/In10 ps_intr_10 -ad_connect sys_concat_intc/In9 ps_intr_09 -ad_connect sys_concat_intc/In8 ps_intr_08 -ad_connect sys_concat_intc/In7 ps_intr_07 -ad_connect sys_concat_intc/In6 ps_intr_06 -ad_connect sys_concat_intc/In5 ps_intr_05 -ad_connect sys_concat_intc/In4 ps_intr_04 -ad_connect sys_concat_intc/In3 ps_intr_03 -ad_connect sys_concat_intc/In2 ps_intr_02 -ad_connect sys_concat_intc/In1 ps_intr_01 -ad_connect sys_concat_intc/In0 ps_intr_00 +ad_connect sys_concat_intc/In13 GND +ad_connect sys_concat_intc/In12 GND +ad_connect sys_concat_intc/In11 GND +ad_connect sys_concat_intc/In10 GND +ad_connect sys_concat_intc/In9 GND +ad_connect sys_concat_intc/In8 GND +ad_connect sys_concat_intc/In7 GND +ad_connect sys_concat_intc/In6 GND +ad_connect sys_concat_intc/In5 GND +ad_connect sys_concat_intc/In4 GND +ad_connect sys_concat_intc/In3 GND +ad_connect sys_concat_intc/In2 GND +ad_connect sys_concat_intc/In1 GND +ad_connect sys_concat_intc/In0 GND # interconnects diff --git a/projects/common/vc707/vc707_system_bd.tcl b/projects/common/vc707/vc707_system_bd.tcl index c23937013..d59b5aed7 100644 --- a/projects/common/vc707/vc707_system_bd.tcl +++ b/projects/common/vc707/vc707_system_bd.tcl @@ -35,16 +35,6 @@ create_bd_port -dir I -from 31 -to 0 gpio1_i create_bd_port -dir O -from 31 -to 0 gpio1_o create_bd_port -dir O -from 31 -to 0 gpio1_t -# interrupts - -create_bd_port -dir I -type intr mb_intr_06 -create_bd_port -dir I -type intr mb_intr_07 -create_bd_port -dir I -type intr mb_intr_08 -create_bd_port -dir I -type intr mb_intr_12 -create_bd_port -dir I -type intr mb_intr_13 -create_bd_port -dir I -type intr mb_intr_14 -create_bd_port -dir I -type intr mb_intr_15 - set_property -dict [list CONFIG.POLARITY {ACTIVE_HIGH}] [get_bd_ports sys_rst] # instance: microblaze - processor @@ -197,16 +187,16 @@ ad_connect sys_concat_intc/In2 axi_ethernet_dma/mm2s_introut ad_connect sys_concat_intc/In3 axi_ethernet_dma/s2mm_introut ad_connect sys_concat_intc/In4 axi_uart/interrupt ad_connect sys_concat_intc/In5 axi_gpio_lcd/ip2intc_irpt -ad_connect sys_concat_intc/In6 mb_intr_06 -ad_connect sys_concat_intc/In7 mb_intr_07 -ad_connect sys_concat_intc/In8 mb_intr_08 +ad_connect sys_concat_intc/In6 GND +ad_connect sys_concat_intc/In7 GND +ad_connect sys_concat_intc/In8 GND ad_connect sys_concat_intc/In9 axi_iic_main/iic2intc_irpt ad_connect sys_concat_intc/In10 axi_spi/ip2intc_irpt ad_connect sys_concat_intc/In11 axi_gpio/ip2intc_irpt -ad_connect sys_concat_intc/In12 mb_intr_12 -ad_connect sys_concat_intc/In13 mb_intr_13 -ad_connect sys_concat_intc/In14 mb_intr_14 -ad_connect sys_concat_intc/In15 mb_intr_15 +ad_connect sys_concat_intc/In12 GND +ad_connect sys_concat_intc/In13 GND +ad_connect sys_concat_intc/In14 GND +ad_connect sys_concat_intc/In15 GND # defaults (external interface) diff --git a/projects/common/zc702/zc702_system_bd.tcl b/projects/common/zc702/zc702_system_bd.tcl index 6017121bd..30fb76c3d 100644 --- a/projects/common/zc702/zc702_system_bd.tcl +++ b/projects/common/zc702/zc702_system_bd.tcl @@ -42,23 +42,6 @@ create_bd_port -dir O -from 15 -to 0 hdmi_data create_bd_port -dir O spdif -# interrupts - -create_bd_port -dir I -type intr ps_intr_00 -create_bd_port -dir I -type intr ps_intr_01 -create_bd_port -dir I -type intr ps_intr_02 -create_bd_port -dir I -type intr ps_intr_03 -create_bd_port -dir I -type intr ps_intr_04 -create_bd_port -dir I -type intr ps_intr_05 -create_bd_port -dir I -type intr ps_intr_06 -create_bd_port -dir I -type intr ps_intr_07 -create_bd_port -dir I -type intr ps_intr_08 -create_bd_port -dir I -type intr ps_intr_09 -create_bd_port -dir I -type intr ps_intr_10 -create_bd_port -dir I -type intr ps_intr_11 -create_bd_port -dir I -type intr ps_intr_12 -create_bd_port -dir I -type intr ps_intr_13 - # instance: sys_ps7 ad_ip_instance processing_system7 sys_ps7 @@ -188,20 +171,20 @@ ad_connect spdif axi_spdif_tx_core/spdif_tx_o ad_connect sys_concat_intc/dout sys_ps7/IRQ_F2P ad_connect sys_concat_intc/In15 axi_hdmi_dma/mm2s_introut ad_connect sys_concat_intc/In14 axi_iic_main/iic2intc_irpt -ad_connect sys_concat_intc/In13 ps_intr_13 -ad_connect sys_concat_intc/In12 ps_intr_12 -ad_connect sys_concat_intc/In11 ps_intr_11 -ad_connect sys_concat_intc/In10 ps_intr_10 -ad_connect sys_concat_intc/In9 ps_intr_09 -ad_connect sys_concat_intc/In8 ps_intr_08 -ad_connect sys_concat_intc/In7 ps_intr_07 -ad_connect sys_concat_intc/In6 ps_intr_06 -ad_connect sys_concat_intc/In5 ps_intr_05 -ad_connect sys_concat_intc/In4 ps_intr_04 -ad_connect sys_concat_intc/In3 ps_intr_03 -ad_connect sys_concat_intc/In2 ps_intr_02 -ad_connect sys_concat_intc/In1 ps_intr_01 -ad_connect sys_concat_intc/In0 ps_intr_00 +ad_connect sys_concat_intc/In13 GND +ad_connect sys_concat_intc/In12 GND +ad_connect sys_concat_intc/In11 GND +ad_connect sys_concat_intc/In10 GND +ad_connect sys_concat_intc/In9 GND +ad_connect sys_concat_intc/In8 GND +ad_connect sys_concat_intc/In7 GND +ad_connect sys_concat_intc/In6 GND +ad_connect sys_concat_intc/In5 GND +ad_connect sys_concat_intc/In4 GND +ad_connect sys_concat_intc/In3 GND +ad_connect sys_concat_intc/In2 GND +ad_connect sys_concat_intc/In1 GND +ad_connect sys_concat_intc/In0 GND # address map diff --git a/projects/common/zc706/zc706_system_bd.tcl b/projects/common/zc706/zc706_system_bd.tcl index d0ae3259f..86ad17703 100644 --- a/projects/common/zc706/zc706_system_bd.tcl +++ b/projects/common/zc706/zc706_system_bd.tcl @@ -41,23 +41,6 @@ create_bd_port -dir O -from 23 -to 0 hdmi_data create_bd_port -dir O spdif -# interrupts - -create_bd_port -dir I -type intr ps_intr_00 -create_bd_port -dir I -type intr ps_intr_01 -create_bd_port -dir I -type intr ps_intr_02 -create_bd_port -dir I -type intr ps_intr_03 -create_bd_port -dir I -type intr ps_intr_04 -create_bd_port -dir I -type intr ps_intr_05 -create_bd_port -dir I -type intr ps_intr_06 -create_bd_port -dir I -type intr ps_intr_07 -create_bd_port -dir I -type intr ps_intr_08 -create_bd_port -dir I -type intr ps_intr_09 -create_bd_port -dir I -type intr ps_intr_10 -create_bd_port -dir I -type intr ps_intr_11 -create_bd_port -dir I -type intr ps_intr_12 -create_bd_port -dir I -type intr ps_intr_13 - # instance: sys_ps7 ad_ip_instance processing_system7 sys_ps7 @@ -189,20 +172,20 @@ ad_connect spdif axi_spdif_tx_core/spdif_tx_o ad_connect sys_concat_intc/dout sys_ps7/IRQ_F2P ad_connect sys_concat_intc/In15 axi_hdmi_dma/mm2s_introut ad_connect sys_concat_intc/In14 axi_iic_main/iic2intc_irpt -ad_connect sys_concat_intc/In13 ps_intr_13 -ad_connect sys_concat_intc/In12 ps_intr_12 -ad_connect sys_concat_intc/In11 ps_intr_11 -ad_connect sys_concat_intc/In10 ps_intr_10 -ad_connect sys_concat_intc/In9 ps_intr_09 -ad_connect sys_concat_intc/In8 ps_intr_08 -ad_connect sys_concat_intc/In7 ps_intr_07 -ad_connect sys_concat_intc/In6 ps_intr_06 -ad_connect sys_concat_intc/In5 ps_intr_05 -ad_connect sys_concat_intc/In4 ps_intr_04 -ad_connect sys_concat_intc/In3 ps_intr_03 -ad_connect sys_concat_intc/In2 ps_intr_02 -ad_connect sys_concat_intc/In1 ps_intr_01 -ad_connect sys_concat_intc/In0 ps_intr_00 +ad_connect sys_concat_intc/In13 GND +ad_connect sys_concat_intc/In12 GND +ad_connect sys_concat_intc/In11 GND +ad_connect sys_concat_intc/In10 GND +ad_connect sys_concat_intc/In9 GND +ad_connect sys_concat_intc/In8 GND +ad_connect sys_concat_intc/In7 GND +ad_connect sys_concat_intc/In6 GND +ad_connect sys_concat_intc/In5 GND +ad_connect sys_concat_intc/In4 GND +ad_connect sys_concat_intc/In3 GND +ad_connect sys_concat_intc/In2 GND +ad_connect sys_concat_intc/In1 GND +ad_connect sys_concat_intc/In0 GND # interconnects diff --git a/projects/common/zcu102/zcu102_system_bd.tcl b/projects/common/zcu102/zcu102_system_bd.tcl index 58dac4ca0..00acef468 100644 --- a/projects/common/zcu102/zcu102_system_bd.tcl +++ b/projects/common/zcu102/zcu102_system_bd.tcl @@ -16,25 +16,6 @@ create_bd_port -dir I -from 94 -to 0 gpio_i create_bd_port -dir O -from 94 -to 0 gpio_o create_bd_port -dir O -from 94 -to 0 gpio_t -# interrupts - -create_bd_port -dir I -type intr ps_intr_00 -create_bd_port -dir I -type intr ps_intr_01 -create_bd_port -dir I -type intr ps_intr_02 -create_bd_port -dir I -type intr ps_intr_03 -create_bd_port -dir I -type intr ps_intr_04 -create_bd_port -dir I -type intr ps_intr_05 -create_bd_port -dir I -type intr ps_intr_06 -create_bd_port -dir I -type intr ps_intr_07 -create_bd_port -dir I -type intr ps_intr_08 -create_bd_port -dir I -type intr ps_intr_09 -create_bd_port -dir I -type intr ps_intr_10 -create_bd_port -dir I -type intr ps_intr_11 -create_bd_port -dir I -type intr ps_intr_12 -create_bd_port -dir I -type intr ps_intr_13 -create_bd_port -dir I -type intr ps_intr_14 -create_bd_port -dir I -type intr ps_intr_15 - # instance: sys_ps8 ad_ip_instance zynq_ultra_ps_e sys_ps8 @@ -125,20 +106,20 @@ ad_ip_parameter sys_concat_intc_1 CONFIG.NUM_PORTS 8 ad_connect sys_concat_intc_0/dout sys_ps8/pl_ps_irq0 ad_connect sys_concat_intc_1/dout sys_ps8/pl_ps_irq1 -ad_connect sys_concat_intc_1/In7 ps_intr_15 -ad_connect sys_concat_intc_1/In6 ps_intr_14 -ad_connect sys_concat_intc_1/In5 ps_intr_13 -ad_connect sys_concat_intc_1/In4 ps_intr_12 -ad_connect sys_concat_intc_1/In3 ps_intr_11 -ad_connect sys_concat_intc_1/In2 ps_intr_10 -ad_connect sys_concat_intc_1/In1 ps_intr_09 -ad_connect sys_concat_intc_1/In0 ps_intr_08 -ad_connect sys_concat_intc_0/In7 ps_intr_07 -ad_connect sys_concat_intc_0/In6 ps_intr_06 -ad_connect sys_concat_intc_0/In5 ps_intr_05 -ad_connect sys_concat_intc_0/In4 ps_intr_04 -ad_connect sys_concat_intc_0/In3 ps_intr_03 -ad_connect sys_concat_intc_0/In2 ps_intr_02 -ad_connect sys_concat_intc_0/In1 ps_intr_01 -ad_connect sys_concat_intc_0/In0 ps_intr_00 +ad_connect sys_concat_intc_1/In7 GND +ad_connect sys_concat_intc_1/In6 GND +ad_connect sys_concat_intc_1/In5 GND +ad_connect sys_concat_intc_1/In4 GND +ad_connect sys_concat_intc_1/In3 GND +ad_connect sys_concat_intc_1/In2 GND +ad_connect sys_concat_intc_1/In1 GND +ad_connect sys_concat_intc_1/In0 GND +ad_connect sys_concat_intc_0/In7 GND +ad_connect sys_concat_intc_0/In6 GND +ad_connect sys_concat_intc_0/In5 GND +ad_connect sys_concat_intc_0/In4 GND +ad_connect sys_concat_intc_0/In3 GND +ad_connect sys_concat_intc_0/In2 GND +ad_connect sys_concat_intc_0/In1 GND +ad_connect sys_concat_intc_0/In0 GND diff --git a/projects/common/zed/zed_system_bd.tcl b/projects/common/zed/zed_system_bd.tcl index 88689acab..eaf58cc0c 100644 --- a/projects/common/zed/zed_system_bd.tcl +++ b/projects/common/zed/zed_system_bd.tcl @@ -58,22 +58,6 @@ create_bd_port -dir I otg_vbusoc create_bd_port -dir O spdif -# interrupts - -create_bd_port -dir I -type intr ps_intr_00 -create_bd_port -dir I -type intr ps_intr_01 -create_bd_port -dir I -type intr ps_intr_02 -create_bd_port -dir I -type intr ps_intr_03 -create_bd_port -dir I -type intr ps_intr_04 -create_bd_port -dir I -type intr ps_intr_05 -create_bd_port -dir I -type intr ps_intr_06 -create_bd_port -dir I -type intr ps_intr_07 -create_bd_port -dir I -type intr ps_intr_08 -create_bd_port -dir I -type intr ps_intr_09 -create_bd_port -dir I -type intr ps_intr_10 -create_bd_port -dir I -type intr ps_intr_12 -create_bd_port -dir I -type intr ps_intr_13 - # instance: sys_ps7 ad_ip_instance processing_system7 sys_ps7 @@ -252,20 +236,20 @@ ad_connect sys_cpu_resetn axi_i2s_adi/DMA_REQ_RX_RSTN ad_connect sys_concat_intc/dout sys_ps7/IRQ_F2P ad_connect sys_concat_intc/In15 axi_hdmi_dma/mm2s_introut ad_connect sys_concat_intc/In14 axi_iic_main/iic2intc_irpt -ad_connect sys_concat_intc/In13 ps_intr_13 -ad_connect sys_concat_intc/In12 ps_intr_12 +ad_connect sys_concat_intc/In13 GND +ad_connect sys_concat_intc/In12 GND ad_connect sys_concat_intc/In11 axi_iic_fmc/iic2intc_irpt -ad_connect sys_concat_intc/In10 ps_intr_10 -ad_connect sys_concat_intc/In9 ps_intr_09 -ad_connect sys_concat_intc/In8 ps_intr_08 -ad_connect sys_concat_intc/In7 ps_intr_07 -ad_connect sys_concat_intc/In6 ps_intr_06 -ad_connect sys_concat_intc/In5 ps_intr_05 -ad_connect sys_concat_intc/In4 ps_intr_04 -ad_connect sys_concat_intc/In3 ps_intr_03 -ad_connect sys_concat_intc/In2 ps_intr_02 -ad_connect sys_concat_intc/In1 ps_intr_01 -ad_connect sys_concat_intc/In0 ps_intr_00 +ad_connect sys_concat_intc/In10 GND +ad_connect sys_concat_intc/In9 GND +ad_connect sys_concat_intc/In8 GND +ad_connect sys_concat_intc/In7 GND +ad_connect sys_concat_intc/In6 GND +ad_connect sys_concat_intc/In5 GND +ad_connect sys_concat_intc/In4 GND +ad_connect sys_concat_intc/In3 GND +ad_connect sys_concat_intc/In2 GND +ad_connect sys_concat_intc/In1 GND +ad_connect sys_concat_intc/In0 GND # interconnects and address mapping diff --git a/projects/daq2/kc705/system_top.v b/projects/daq2/kc705/system_top.v index 183750555..e6668c087 100644 --- a/projects/daq2/kc705/system_top.v +++ b/projects/daq2/kc705/system_top.v @@ -259,11 +259,6 @@ module system_top ( .linear_flash_dq_io (linear_flash_dq_io), .linear_flash_oen (linear_flash_oen), .linear_flash_wen (linear_flash_wen), - .mb_intr_02 (1'd0), - .mb_intr_03 (1'd0), - .mb_intr_06 (1'd0), - .mb_intr_07 (1'd0), - .mb_intr_08 (1'd0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .mii_col (mii_col), diff --git a/projects/daq2/kcu105/system_top.v b/projects/daq2/kcu105/system_top.v index a39dcbc20..d77fc7f0f 100644 --- a/projects/daq2/kcu105/system_top.v +++ b/projects/daq2/kcu105/system_top.v @@ -233,10 +233,6 @@ module system_top ( .gpio1_t (gpio_t[63:32]), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .mb_intr_05 (1'b0), - .mb_intr_06 (1'b0), - .mb_intr_07 (1'b0), - .mb_intr_08 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .phy_clk_clk_n (phy_clk_n), diff --git a/projects/daq2/vc707/system_top.v b/projects/daq2/vc707/system_top.v index ab8a34c44..8a568d3a4 100644 --- a/projects/daq2/vc707/system_top.v +++ b/projects/daq2/vc707/system_top.v @@ -253,9 +253,6 @@ module system_top ( .linear_flash_dq_io (linear_flash_dq_io), .linear_flash_oen (linear_flash_oen), .linear_flash_wen (linear_flash_wen), - .mb_intr_06 (1'd0), - .mb_intr_07 (1'd0), - .mb_intr_08 (1'd0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .mgt_clk_clk_n (mgt_clk_n), diff --git a/projects/daq2/zc706/system_top.v b/projects/daq2/zc706/system_top.v index b3c88d73d..0c8e0686e 100644 --- a/projects/daq2/zc706/system_top.v +++ b/projects/daq2/zc706/system_top.v @@ -276,16 +276,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), .rx_data_0_n (rx_data_n[0]), .rx_data_0_p (rx_data_p[0]), .rx_data_1_n (rx_data_n[1]), diff --git a/projects/daq2/zcu102/system_top.v b/projects/daq2/zcu102/system_top.v index 3afa2ad91..35ff79a43 100644 --- a/projects/daq2/zcu102/system_top.v +++ b/projects/daq2/zcu102/system_top.v @@ -168,18 +168,6 @@ module system_top ( system_wrapper i_system_wrapper ( .gpio_i (gpio_i), .gpio_o (gpio_o), - .ps_intr_00 (1'd0), - .ps_intr_01 (1'd0), - .ps_intr_02 (1'd0), - .ps_intr_03 (1'd0), - .ps_intr_04 (1'd0), - .ps_intr_05 (1'd0), - .ps_intr_06 (1'd0), - .ps_intr_07 (1'd0), - .ps_intr_08 (1'd0), - .ps_intr_09 (1'd0), - .ps_intr_14 (1'd0), - .ps_intr_15 (1'd0), .rx_data_0_n (rx_data_n[0]), .rx_data_0_p (rx_data_p[0]), .rx_data_1_n (rx_data_n[1]), diff --git a/projects/daq3/kcu105/system_top.v b/projects/daq3/kcu105/system_top.v index 68dd01a3e..3ca72986d 100644 --- a/projects/daq3/kcu105/system_top.v +++ b/projects/daq3/kcu105/system_top.v @@ -236,10 +236,6 @@ module system_top ( .gpio1_t (gpio_t[63:32]), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .mb_intr_05 (1'b0), - .mb_intr_06 (1'b0), - .mb_intr_07 (1'b0), - .mb_intr_08 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .phy_clk_clk_n (phy_clk_n), diff --git a/projects/daq3/zc706/system_top.v b/projects/daq3/zc706/system_top.v index a97f200ab..d56a4347d 100644 --- a/projects/daq3/zc706/system_top.v +++ b/projects/daq3/zc706/system_top.v @@ -280,16 +280,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), .rx_data_0_n (rx_data_n[0]), .rx_data_0_p (rx_data_p[0]), .rx_data_1_n (rx_data_n[1]), diff --git a/projects/daq3/zcu102/system_top.v b/projects/daq3/zcu102/system_top.v index bb4992b4c..982b242e2 100644 --- a/projects/daq3/zcu102/system_top.v +++ b/projects/daq3/zcu102/system_top.v @@ -173,18 +173,6 @@ module system_top ( system_wrapper i_system_wrapper ( .gpio_i (gpio_i), .gpio_o (gpio_o), - .ps_intr_00 (1'd0), - .ps_intr_01 (1'd0), - .ps_intr_02 (1'd0), - .ps_intr_03 (1'd0), - .ps_intr_04 (1'd0), - .ps_intr_05 (1'd0), - .ps_intr_06 (1'd0), - .ps_intr_07 (1'd0), - .ps_intr_08 (1'd0), - .ps_intr_09 (1'd0), - .ps_intr_14 (1'd0), - .ps_intr_15 (1'd0), .rx_data_0_n (rx_data_n[0]), .rx_data_0_p (rx_data_p[0]), .rx_data_1_n (rx_data_n[1]), diff --git a/projects/fmcadc2/vc707/system_top.v b/projects/fmcadc2/vc707/system_top.v index ecb841ead..70797a0e2 100644 --- a/projects/fmcadc2/vc707/system_top.v +++ b/projects/fmcadc2/vc707/system_top.v @@ -208,11 +208,6 @@ module system_top ( .linear_flash_dq_io (linear_flash_dq_io), .linear_flash_oen (linear_flash_oen), .linear_flash_wen (linear_flash_wen), - .mb_intr_06 (1'd0), - .mb_intr_07 (1'd0), - .mb_intr_08 (1'd0), - .mb_intr_14 (1'd0), - .mb_intr_15 (1'd0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .mgt_clk_clk_n (mgt_clk_n), diff --git a/projects/fmcadc2/zc706/system_top.v b/projects/fmcadc2/zc706/system_top.v index 7093c2223..2b8e4e5e5 100644 --- a/projects/fmcadc2/zc706/system_top.v +++ b/projects/fmcadc2/zc706/system_top.v @@ -232,18 +232,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), .rx_data_0_n (rx_data_n[0]), .rx_data_0_p (rx_data_p[0]), .rx_data_1_n (rx_data_n[1]), diff --git a/projects/fmcadc4/zc706/system_top.v b/projects/fmcadc4/zc706/system_top.v index 9094533f4..6545c9c22 100644 --- a/projects/fmcadc4/zc706/system_top.v +++ b/projects/fmcadc4/zc706/system_top.v @@ -244,18 +244,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), .rx_data_0_n (rx_data_n[0]), .rx_data_0_p (rx_data_p[0]), .rx_data_1_n (rx_data_n[1]), diff --git a/projects/fmcadc5/vc707/system_top.v b/projects/fmcadc5/vc707/system_top.v index 9cab0f9ce..076977796 100644 --- a/projects/fmcadc5/vc707/system_top.v +++ b/projects/fmcadc5/vc707/system_top.v @@ -265,10 +265,6 @@ module system_top ( .linear_flash_dq_io(linear_flash_dq_io), .linear_flash_oen (linear_flash_oen), .linear_flash_wen (linear_flash_wen), - .mb_intr_06 (1'b0), - .mb_intr_07 (1'b0), - .mb_intr_08 (1'b0), - .mb_intr_15 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .mgt_clk_clk_n (mgt_clk_n), diff --git a/projects/fmcjesdadc1/kc705/system_top.v b/projects/fmcjesdadc1/kc705/system_top.v index 240461776..6d417f948 100644 --- a/projects/fmcjesdadc1/kc705/system_top.v +++ b/projects/fmcjesdadc1/kc705/system_top.v @@ -173,12 +173,6 @@ module system_top ( .gpio_lcd_tri_io (gpio_lcd), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .mb_intr_02 (1'd0), - .mb_intr_03 (1'd0), - .mb_intr_06 (1'd0), - .mb_intr_07 (1'd0), - .mb_intr_08 (1'd0), - .mb_intr_15 (1'd0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .mii_col (mii_col), diff --git a/projects/fmcjesdadc1/vc707/system_top.v b/projects/fmcjesdadc1/vc707/system_top.v index b80f34462..12276a6e1 100644 --- a/projects/fmcjesdadc1/vc707/system_top.v +++ b/projects/fmcjesdadc1/vc707/system_top.v @@ -176,10 +176,6 @@ module system_top ( .gpio_lcd_tri_io (gpio_lcd), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .mb_intr_06 (1'b0), - .mb_intr_07 (1'b0), - .mb_intr_08 (1'b0), - .mb_intr_15 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .mgt_clk_clk_n (mgt_clk_n), diff --git a/projects/fmcjesdadc1/zc706/system_top.v b/projects/fmcjesdadc1/zc706/system_top.v index 26941f4fe..0a39ecb20 100644 --- a/projects/fmcjesdadc1/zc706/system_top.v +++ b/projects/fmcjesdadc1/zc706/system_top.v @@ -167,17 +167,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), .rx_data_0_n (rx_data_n[0]), .rx_data_0_p (rx_data_p[0]), .rx_data_1_n (rx_data_n[1]), diff --git a/projects/fmcomms2/kc705/system_top.v b/projects/fmcomms2/kc705/system_top.v index e0ab30f5d..88df5f137 100644 --- a/projects/fmcomms2/kc705/system_top.v +++ b/projects/fmcomms2/kc705/system_top.v @@ -183,12 +183,6 @@ module system_top ( .gpio1_o (gpio_o[63:32]), .gpio1_t (gpio_t[63:32]), .gpio1_i (gpio_i[63:32]), - .mb_intr_02 (1'b0), - .mb_intr_03 (1'b0), - .mb_intr_07 (1'b0), - .mb_intr_08 (1'b0), - .mb_intr_14 (1'b0), - .mb_intr_15 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .mii_col (mii_col), diff --git a/projects/fmcomms2/kcu105/system_top.v b/projects/fmcomms2/kcu105/system_top.v index af3c483a8..c86757a85 100644 --- a/projects/fmcomms2/kcu105/system_top.v +++ b/projects/fmcomms2/kcu105/system_top.v @@ -158,10 +158,6 @@ module system_top ( .gpio1_t (gpio_t[63:32]), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .mb_intr_05 (1'b0), - .mb_intr_06 (1'b0), - .mb_intr_07 (1'b0), - .mb_intr_08 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .phy_clk_clk_n (phy_clk_n), diff --git a/projects/fmcomms2/vc707/system_top.v b/projects/fmcomms2/vc707/system_top.v index 39c06250f..5b2a0fe3a 100644 --- a/projects/fmcomms2/vc707/system_top.v +++ b/projects/fmcomms2/vc707/system_top.v @@ -179,11 +179,6 @@ module system_top ( .gpio1_i (gpio_i[63:32]), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .mb_intr_06 (1'b0), - .mb_intr_07 (1'b0), - .mb_intr_08 (1'b0), - .mb_intr_14 (1'b0), - .mb_intr_15 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio), .mgt_clk_clk_n (mgt_clk_n), diff --git a/projects/fmcomms2/zc702/system_top.v b/projects/fmcomms2/zc702/system_top.v index bdb5e884d..5566f7243 100644 --- a/projects/fmcomms2/zc702/system_top.v +++ b/projects/fmcomms2/zc702/system_top.v @@ -169,18 +169,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), .rx_data_in_n (rx_data_in_n), diff --git a/projects/fmcomms2/zc706/system_top.v b/projects/fmcomms2/zc706/system_top.v index 90651615f..c45089ffc 100644 --- a/projects/fmcomms2/zc706/system_top.v +++ b/projects/fmcomms2/zc706/system_top.v @@ -198,18 +198,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), .rx_data_in_n (rx_data_in_n), diff --git a/projects/fmcomms2/zcu102/system_top.v b/projects/fmcomms2/zcu102/system_top.v index 11c3dcc6d..649fefd0a 100644 --- a/projects/fmcomms2/zcu102/system_top.v +++ b/projects/fmcomms2/zcu102/system_top.v @@ -94,20 +94,6 @@ module system_top ( .enable (enable), .gpio_i (gpio_i), .gpio_o (gpio_o), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), - .ps_intr_14 (1'b0), - .ps_intr_15 (1'b0), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), .rx_data_in_n (rx_data_in_n), diff --git a/projects/fmcomms2/zed/system_top.v b/projects/fmcomms2/zed/system_top.v index 20c61bf41..9f361cb1d 100644 --- a/projects/fmcomms2/zed/system_top.v +++ b/projects/fmcomms2/zed/system_top.v @@ -199,17 +199,6 @@ module system_top ( .iic_mux_sda_i (iic_mux_sda_i_s), .iic_mux_sda_o (iic_mux_sda_o_s), .iic_mux_sda_t (iic_mux_sda_t_s), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), .otg_vbusoc (otg_vbusoc), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), diff --git a/projects/fmcomms5/zc702/system_top.v b/projects/fmcomms5/zc702/system_top.v index 68270d298..c5af21f01 100644 --- a/projects/fmcomms5/zc702/system_top.v +++ b/projects/fmcomms5/zc702/system_top.v @@ -252,18 +252,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), .rx_clk_in_0_n (rx_clk_in_0_n), .rx_clk_in_0_p (rx_clk_in_0_p), .rx_clk_in_1_n (rx_clk_in_1_n), diff --git a/projects/fmcomms5/zc706/system_top.v b/projects/fmcomms5/zc706/system_top.v index c370b03f4..d092f820f 100644 --- a/projects/fmcomms5/zc706/system_top.v +++ b/projects/fmcomms5/zc706/system_top.v @@ -248,18 +248,6 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), .rx_clk_in_0_n (rx_clk_in_0_n), .rx_clk_in_0_p (rx_clk_in_0_p), .rx_clk_in_1_n (rx_clk_in_1_n), diff --git a/projects/fmcomms5/zcu102/system_top.v b/projects/fmcomms5/zcu102/system_top.v index f07bd9061..189056be6 100644 --- a/projects/fmcomms5/zcu102/system_top.v +++ b/projects/fmcomms5/zcu102/system_top.v @@ -188,20 +188,6 @@ module system_top ( .gpio_i (gpio_i), .gpio_o (gpio_o), .gpio_t (), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), - .ps_intr_14 (1'b0), - .ps_intr_15 (1'b0), .rx_clk_in_0_n (rx_clk_in_0_n), .rx_clk_in_0_p (rx_clk_in_0_p), .rx_clk_in_1_n (rx_clk_in_1_n), diff --git a/projects/imageon/zed/system_top.v b/projects/imageon/zed/system_top.v index eb5c328a9..d33afbc40 100644 --- a/projects/imageon/zed/system_top.v +++ b/projects/imageon/zed/system_top.v @@ -178,18 +178,6 @@ module system_top ( .iic_mux_sda_i (iic_mux_sda_i_s), .iic_mux_sda_o (iic_mux_sda_o_s), .iic_mux_sda_t (iic_mux_sda_t_s), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_13 (1'b0), .otg_vbusoc (otg_vbusoc), .spdif_rx (hdmi_rx_spdif), .spdif_tx (hdmi_tx_spdif), diff --git a/projects/m2k/standalone/system_top.v b/projects/m2k/standalone/system_top.v index fef0564c3..e6887de37 100644 --- a/projects/m2k/standalone/system_top.v +++ b/projects/m2k/standalone/system_top.v @@ -180,16 +180,6 @@ module system_top ( .tx_clk(tx_clk), .txiq(txiq), .txd(txd), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_11 (1'b0), - .ps_intr_15 (1'b0), .spi0_clk_i (spi0_clk), .spi0_clk_o (spi0_clk), .spi0_csn_0_o (spi0_csn[0]), diff --git a/projects/motcon2_fmc/zed/system_top.v b/projects/motcon2_fmc/zed/system_top.v index ce2dedad5..613e74829 100644 --- a/projects/motcon2_fmc/zed/system_top.v +++ b/projects/motcon2_fmc/zed/system_top.v @@ -304,13 +304,6 @@ module system_top ( .iic_mux_sda_i (iic_mux_sda_i_s), .iic_mux_sda_o (iic_mux_sda_o_s), .iic_mux_sda_t (iic_mux_sda_t_s), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), .iic_ee2_scl_io(iic_ee2_scl_io), .iic_ee2_sda_io(iic_ee2_sda_io), .spi0_clk_i (1'b0), diff --git a/projects/pluto/system_top.v b/projects/pluto/system_top.v index acb847008..8b74dae15 100644 --- a/projects/pluto/system_top.v +++ b/projects/pluto/system_top.v @@ -133,19 +133,6 @@ module system_top ( .gpio_t (gpio_t), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), - .ps_intr_14 (1'b0), .rx_clk_in (rx_clk_in), .rx_data_in (rx_data_in), .rx_frame_in (rx_frame_in), diff --git a/projects/scripts/adi_board.tcl b/projects/scripts/adi_board.tcl index 318f4663c..62594c8bb 100644 --- a/projects/scripts/adi_board.tcl +++ b/projects/scripts/adi_board.tcl @@ -717,29 +717,29 @@ proc ad_cpu_interrupt {p_ps_index p_mb_index p_name} { if {($sys_zynq == 2) && ($p_index <= 7)} { set p_net [get_bd_nets -of_objects [get_bd_pins sys_concat_intc_0/In$p_index]] - set p_pin [find_bd_objs -relation connected_to [get_bd_pins sys_concat_intc_0/In$p_index]] + set p_pin [get_bd_pins sys_concat_intc_0/In$p_index] - puts "delete_bd_objs $p_net $p_pin" - delete_bd_objs $p_net $p_pin + puts "disconnect_bd_net $p_net $p_pin" + disconnect_bd_net $p_net $p_pin ad_connect sys_concat_intc_0/In$p_index $p_name } if {($sys_zynq == 2) && ($p_index >= 8)} { set p_net [get_bd_nets -of_objects [get_bd_pins sys_concat_intc_1/In$m_index]] - set p_pin [find_bd_objs -relation connected_to [get_bd_pins sys_concat_intc_1/In$m_index]] + set p_pin [get_bd_pins sys_concat_intc_1/In$m_index] - puts "delete_bd_objs $p_net $p_pin" - delete_bd_objs $p_net $p_pin + puts "disconnect_bd_net $p_net $p_pin" + disconnect_bd_net $p_net $p_pin ad_connect sys_concat_intc_1/In$m_index $p_name } if {$sys_zynq <= 1} { set p_net [get_bd_nets -of_objects [get_bd_pins sys_concat_intc/In$p_index]] - set p_pin [find_bd_objs -relation connected_to [get_bd_pins sys_concat_intc/In$p_index]] + set p_pin [get_bd_pins sys_concat_intc/In$p_index] - puts "delete_bd_objs $p_net $p_pin" - delete_bd_objs $p_net $p_pin + puts "disconnect_bd_net $p_net $p_pin" + disconnect_bd_net $p_net $p_pin ad_connect sys_concat_intc/In$p_index $p_name } } diff --git a/projects/sidekiqz2/system_top.v b/projects/sidekiqz2/system_top.v index b833c4e13..f5c08044e 100755 --- a/projects/sidekiqz2/system_top.v +++ b/projects/sidekiqz2/system_top.v @@ -130,19 +130,6 @@ module system_top ( .gpio_t (gpio_t), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (1'b0), - .ps_intr_01 (1'b0), - .ps_intr_02 (1'b0), - .ps_intr_03 (1'b0), - .ps_intr_04 (1'b0), - .ps_intr_05 (1'b0), - .ps_intr_06 (1'b0), - .ps_intr_07 (1'b0), - .ps_intr_08 (1'b0), - .ps_intr_09 (1'b0), - .ps_intr_10 (1'b0), - .ps_intr_11 (1'b0), - .ps_intr_14 (1'b0), .rx_clk_in (rx_clk_in), .rx_data_in (rx_data_in), .rx_frame_in (rx_frame_in),