ad9739a/zc706: 2014.4 updates

main
Rejeesh Kutty 2015-03-19 11:36:15 -04:00
parent c236c38e93
commit ec0b6ac754
1 changed files with 117 additions and 94 deletions

View File

@ -41,28 +41,28 @@
module system_top ( module system_top (
DDR_addr, ddr_addr,
DDR_ba, ddr_ba,
DDR_cas_n, ddr_cas_n,
DDR_ck_n, ddr_ck_n,
DDR_ck_p, ddr_ck_p,
DDR_cke, ddr_cke,
DDR_cs_n, ddr_cs_n,
DDR_dm, ddr_dm,
DDR_dq, ddr_dq,
DDR_dqs_n, ddr_dqs_n,
DDR_dqs_p, ddr_dqs_p,
DDR_odt, ddr_odt,
DDR_ras_n, ddr_ras_n,
DDR_reset_n, ddr_reset_n,
DDR_we_n, ddr_we_n,
FIXED_IO_ddr_vrn, fixed_io_ddr_vrn,
FIXED_IO_ddr_vrp, fixed_io_ddr_vrp,
FIXED_IO_mio, fixed_io_mio,
FIXED_IO_ps_clk, fixed_io_ps_clk,
FIXED_IO_ps_porb, fixed_io_ps_porb,
FIXED_IO_ps_srstb, fixed_io_ps_srstb,
gpio_bd, gpio_bd,
@ -92,28 +92,28 @@ module system_top (
spi_mosi, spi_mosi,
spi_miso); spi_miso);
inout [14:0] DDR_addr; inout [14:0] ddr_addr;
inout [ 2:0] DDR_ba; inout [ 2:0] ddr_ba;
inout DDR_cas_n; inout ddr_cas_n;
inout DDR_ck_n; inout ddr_ck_n;
inout DDR_ck_p; inout ddr_ck_p;
inout DDR_cke; inout ddr_cke;
inout DDR_cs_n; inout ddr_cs_n;
inout [ 3:0] DDR_dm; inout [ 3:0] ddr_dm;
inout [31:0] DDR_dq; inout [31:0] ddr_dq;
inout [ 3:0] DDR_dqs_n; inout [ 3:0] ddr_dqs_n;
inout [ 3:0] DDR_dqs_p; inout [ 3:0] ddr_dqs_p;
inout DDR_odt; inout ddr_odt;
inout DDR_ras_n; inout ddr_ras_n;
inout DDR_reset_n; inout ddr_reset_n;
inout DDR_we_n; inout ddr_we_n;
inout FIXED_IO_ddr_vrn; inout fixed_io_ddr_vrn;
inout FIXED_IO_ddr_vrp; inout fixed_io_ddr_vrp;
inout [53:0] FIXED_IO_mio; inout [53:0] fixed_io_mio;
inout FIXED_IO_ps_clk; inout fixed_io_ps_clk;
inout FIXED_IO_ps_porb; inout fixed_io_ps_porb;
inout FIXED_IO_ps_srstb; inout fixed_io_ps_srstb;
inout [14:0] gpio_bd; inout [14:0] gpio_bd;
@ -145,45 +145,59 @@ module system_top (
// internal signals // internal signals
wire [14:0] gpio_i; wire [63:0] gpio_i;
wire [14:0] gpio_o; wire [63:0] gpio_o;
wire [14:0] gpio_t; wire [63:0] gpio_t;
wire [15:0] ps_intrs; wire [ 2:0] spi0_csn;
wire spi0_clk;
wire spi0_mosi;
wire spi0_miso;
wire [ 2:0] spi1_csn;
wire spi1_clk;
wire spi1_mosi;
wire spi1_miso;
// assignments
assign spi_csn_clk = spi0_csn[0];
assign spi_csn_dac = spi0_csn[1];
assign spi_clk = spi0_clk;
assign spi_mosi = spi0_mosi;
assign spi0_miso = spi_miso;
// instantiations // instantiations
ad_iobuf #(.DATA_WIDTH(15)) i_gpio_bd ( ad_iobuf #(.DATA_WIDTH(15)) i_iobuf_bd (
.dt (gpio_t[14:0]), .dt (gpio_t[14:0]),
.di (gpio_o[14:0]), .di (gpio_o[14:0]),
.do (gpio_i[14:0]), .do (gpio_i[14:0]),
.dio (gpio_bd)); .dio (gpio_bd));
system_wrapper i_system_wrapper ( system_wrapper i_system_wrapper (
.DDR_addr (DDR_addr), .ddr_addr (ddr_addr),
.DDR_ba (DDR_ba), .ddr_ba (ddr_ba),
.DDR_cas_n (DDR_cas_n), .ddr_cas_n (ddr_cas_n),
.DDR_ck_n (DDR_ck_n), .ddr_ck_n (ddr_ck_n),
.DDR_ck_p (DDR_ck_p), .ddr_ck_p (ddr_ck_p),
.DDR_cke (DDR_cke), .ddr_cke (ddr_cke),
.DDR_cs_n (DDR_cs_n), .ddr_cs_n (ddr_cs_n),
.DDR_dm (DDR_dm), .ddr_dm (ddr_dm),
.DDR_dq (DDR_dq), .ddr_dq (ddr_dq),
.DDR_dqs_n (DDR_dqs_n), .ddr_dqs_n (ddr_dqs_n),
.DDR_dqs_p (DDR_dqs_p), .ddr_dqs_p (ddr_dqs_p),
.DDR_odt (DDR_odt), .ddr_odt (ddr_odt),
.DDR_ras_n (DDR_ras_n), .ddr_ras_n (ddr_ras_n),
.DDR_reset_n (DDR_reset_n), .ddr_reset_n (ddr_reset_n),
.DDR_we_n (DDR_we_n), .ddr_we_n (ddr_we_n),
.FIXED_IO_ddr_vrn (FIXED_IO_ddr_vrn), .fixed_io_ddr_vrn (fixed_io_ddr_vrn),
.FIXED_IO_ddr_vrp (FIXED_IO_ddr_vrp), .fixed_io_ddr_vrp (fixed_io_ddr_vrp),
.FIXED_IO_mio (FIXED_IO_mio), .fixed_io_mio (fixed_io_mio),
.FIXED_IO_ps_clk (FIXED_IO_ps_clk), .fixed_io_ps_clk (fixed_io_ps_clk),
.FIXED_IO_ps_porb (FIXED_IO_ps_porb), .fixed_io_ps_porb (fixed_io_ps_porb),
.FIXED_IO_ps_srstb (FIXED_IO_ps_srstb), .fixed_io_ps_srstb (fixed_io_ps_srstb),
.GPIO_I (gpio_i), .gpio_i (gpio_i),
.GPIO_O (gpio_o), .gpio_o (gpio_o),
.GPIO_T (gpio_t), .gpio_t (gpio_t),
.ad9739a_dma_irq (ps_intrs[12]),
.dac_clk_in_n (dac_clk_in_n), .dac_clk_in_n (dac_clk_in_n),
.dac_clk_in_p (dac_clk_in_p), .dac_clk_in_p (dac_clk_in_p),
.dac_clk_out_n (dac_clk_out_n), .dac_clk_out_n (dac_clk_out_n),
@ -199,29 +213,38 @@ module system_top (
.hdmi_vsync (hdmi_vsync), .hdmi_vsync (hdmi_vsync),
.iic_main_scl_io (iic_scl), .iic_main_scl_io (iic_scl),
.iic_main_sda_io (iic_sda), .iic_main_sda_io (iic_sda),
.ps_intr_0 (ps_intrs[0]), .ps_intr_00 (1'b0),
.ps_intr_1 (ps_intrs[1]), .ps_intr_01 (1'b0),
.ps_intr_10 (ps_intrs[10]), .ps_intr_02 (1'b0),
.ps_intr_11 (ps_intrs[11]), .ps_intr_03 (1'b0),
.ps_intr_12 (ps_intrs[12]), .ps_intr_04 (1'b0),
.ps_intr_13 (ps_intrs[13]), .ps_intr_05 (1'b0),
.ps_intr_2 (ps_intrs[2]), .ps_intr_06 (1'b0),
.ps_intr_3 (ps_intrs[3]), .ps_intr_07 (1'b0),
.ps_intr_4 (ps_intrs[4]), .ps_intr_08 (1'b0),
.ps_intr_5 (ps_intrs[5]), .ps_intr_09 (1'b0),
.ps_intr_6 (ps_intrs[6]), .ps_intr_10 (1'b0),
.ps_intr_7 (ps_intrs[7]), .ps_intr_11 (1'b0),
.ps_intr_8 (ps_intrs[8]), .ps_intr_13 (1'b0),
.ps_intr_9 (ps_intrs[9]),
.spdif (spdif), .spdif (spdif),
.spi_clk_i (spi_clk), .spi0_clk_i (spi0_clk),
.spi_clk_o (spi_clk), .spi0_clk_o (spi0_clk),
.spi_csn_0_o (spi_csn_clk), .spi0_csn_0_o (spi0_csn[0]),
.spi_csn_1_o (spi_csn_dac), .spi0_csn_1_o (spi0_csn[1]),
.spi_csn_i (1'b1), .spi0_csn_2_o (spi0_csn[2]),
.spi_sdi_i (spi_miso), .spi0_csn_i (1'b1),
.spi_sdo_i (spi_mosi), .spi0_sdi_i (spi0_miso),
.spi_sdo_o (spi_mosi)); .spi0_sdo_i (spi0_mosi),
.spi0_sdo_o (spi0_mosi),
.spi1_clk_i (spi1_clk),
.spi1_clk_o (spi1_clk),
.spi1_csn_0_o (spi1_csn[0]),
.spi1_csn_1_o (spi1_csn[1]),
.spi1_csn_2_o (spi1_csn[2]),
.spi1_csn_i (1'b1),
.spi1_sdi_i (1'b1),
.spi1_sdo_i (spi1_mosi),
.spi1_sdo_o (spi1_mosi));
endmodule endmodule