From ec636b785a1a79b303b3eec552c8e1ea86dbdb50 Mon Sep 17 00:00:00 2001 From: Laszlo Nagy Date: Mon, 6 May 2019 16:07:27 +0100 Subject: [PATCH] scripts:adi_project.tcl: add check for missing clock definitions Look for undefined clocks which do not show up in the timing summary therefore can lead to silent failures. If clocks are not defined they are not analyzed during the timing checks. --- projects/scripts/adi_project.tcl | 8 ++++++++ 1 file changed, 8 insertions(+) diff --git a/projects/scripts/adi_project.tcl b/projects/scripts/adi_project.tcl index 979015af7..c84b999be 100644 --- a/projects/scripts/adi_project.tcl +++ b/projects/scripts/adi_project.tcl @@ -210,6 +210,14 @@ proc adi_project_run {project_name} { open_run impl_1 report_timing_summary -file timing_impl.log + # Look for undefined clocks which do not show up in the timing summary + set timing_check [check_timing -override_defaults no_clock -no_header -return_string] + regexp {are (\d+) register} $timing_check -> num_regs + if {$num_regs > 0} { + puts "CRITICAL WARNING: There are $num_regs registers with no clocks !!! See no_clock.log for details." + check_timing -override_defaults no_clock -verbose -file no_clock.log + } + file mkdir $project_name.sdk if [expr [string match *VIOLATED* $[report_timing_summary -return_string]] == 1] {