adrv9009zu11eg:fmcomms8: Fix SPI timing constraint

main
Adrian Costina 2020-11-03 13:05:06 +00:00
parent 7309da59d1
commit ecd880d44c
1 changed files with 2 additions and 0 deletions

View File

@ -122,3 +122,5 @@ set_input_delay -clock rx_fmc_dev_clk -min 4 [get_ports sysref_c_p];
set_input_delay -clock tx_fmc_dev_clk -max 4 [get_ports sysref_d_p]; set_input_delay -clock tx_fmc_dev_clk -max 4 [get_ports sysref_d_p];
set_input_delay -clock tx_fmc_dev_clk -min 4 [get_ports sysref_d_p]; set_input_delay -clock tx_fmc_dev_clk -min 4 [get_ports sysref_d_p];
create_clock -name spi1_clk -period 40 [get_pins -hier */EMIOSPI1SCLKO]