diff --git a/projects/adrv9371x/zc706/Makefile b/projects/adrv9371x/zc706/Makefile index f2145cd19..f7e46ba4c 100644 --- a/projects/adrv9371x/zc706/Makefile +++ b/projects/adrv9371x/zc706/Makefile @@ -13,9 +13,9 @@ M_DEPS += ../common/adrv9371x_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3_dacfifo.tcl -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl +M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc +M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v diff --git a/projects/adrv9371x/zc706/system_bd.tcl b/projects/adrv9371x/zc706/system_bd.tcl index 92ff569bc..434317333 100644 --- a/projects/adrv9371x/zc706/system_bd.tcl +++ b/projects/adrv9371x/zc706/system_bd.tcl @@ -1,6 +1,6 @@ source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl -source $ad_hdl_dir/projects/common/zc706/zc706_system_plddr3_dacfifo.tcl +source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_dacfifo_bd.tcl p_plddr3_dacfifo [current_bd_instance .] axi_ad9371_dacfifo 128 128 diff --git a/projects/adrv9371x/zc706/system_project.tcl b/projects/adrv9371x/zc706/system_project.tcl index 183270edb..96d9d9ee8 100644 --- a/projects/adrv9371x/zc706/system_project.tcl +++ b/projects/adrv9371x/zc706/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files adrv9371x_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ - "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ + "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc] diff --git a/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl b/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl index 23c2c95d3..ae6bd703d 100644 --- a/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl +++ b/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl @@ -33,8 +33,8 @@ proc p_plddr3_adcfifo {p_name m_name adc_data_width} { set axi_ddr_cntrl [create_bd_cell -type ip -vlnv xilinx.com:ip:mig_7series:4.0 axi_ddr_cntrl] set axi_ddr_cntrl_dir [get_property IP_DIR [get_ips [get_property CONFIG.Component_Name $axi_ddr_cntrl]]] - file copy -force $ad_hdl_dir/projects/common/zc706/zc706_system_mig.prj "$axi_ddr_cntrl_dir/" - set_property -dict [list CONFIG.XML_INPUT_FILE {zc706_system_mig.prj}] $axi_ddr_cntrl + file copy -force $ad_hdl_dir/projects/common/zc706/zc706_plddr3_mig.prj "$axi_ddr_cntrl_dir/" + set_property -dict [list CONFIG.XML_INPUT_FILE {zc706_plddr3_mig.prj}] $axi_ddr_cntrl set axi_rstgen [create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 axi_rstgen] diff --git a/projects/common/zc706/zc706_plddr3_dacfifo_bd.tcl b/projects/common/zc706/zc706_plddr3_dacfifo_bd.tcl index 735870609..4185d5e5b 100644 --- a/projects/common/zc706/zc706_plddr3_dacfifo_bd.tcl +++ b/projects/common/zc706/zc706_plddr3_dacfifo_bd.tcl @@ -37,8 +37,8 @@ proc p_plddr3_dacfifo {p_name m_name dma_data_width dac_data_width} { set axi_ddr_cntrl [create_bd_cell -type ip -vlnv xilinx.com:ip:mig_7series:4.0 axi_ddr_cntrl] set axi_ddr_cntrl_dir [get_property IP_DIR [get_ips [get_property CONFIG.Component_Name $axi_ddr_cntrl]]] - file copy -force $ad_hdl_dir/projects/common/zc706/zc706_system_mig.prj "$axi_ddr_cntrl_dir/" - set_property -dict [list CONFIG.XML_INPUT_FILE {zc706_system_mig.prj}] $axi_ddr_cntrl + file copy -force $ad_hdl_dir/projects/common/zc706/zc706_plddr3_mig.prj "$axi_ddr_cntrl_dir/" + set_property -dict [list CONFIG.XML_INPUT_FILE {zc706_plddr3_mig.prj}] $axi_ddr_cntrl set axi_rstgen [create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 axi_rstgen] diff --git a/projects/daq1/zc706/Makefile b/projects/daq1/zc706/Makefile index 16bd8a371..5db719d0d 100644 --- a/projects/daq1/zc706/Makefile +++ b/projects/daq1/zc706/Makefile @@ -14,9 +14,9 @@ M_DEPS += ../common/daq1_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3_adcfifo.tcl -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl +M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc +M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v diff --git a/projects/daq1/zc706/system_bd.tcl b/projects/daq1/zc706/system_bd.tcl index 5fa45b20a..c0ff4740f 100644 --- a/projects/daq1/zc706/system_bd.tcl +++ b/projects/daq1/zc706/system_bd.tcl @@ -1,6 +1,6 @@ source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl -source $ad_hdl_dir/projects/common/zc706/zc706_system_plddr3_adcfifo.tcl +source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl p_plddr3_adcfifo [current_bd_instance .] axi_ad9684_fifo 64 diff --git a/projects/daq1/zc706/system_project.tcl b/projects/daq1/zc706/system_project.tcl index f38fb7b99..32a2cb7df 100644 --- a/projects/daq1/zc706/system_project.tcl +++ b/projects/daq1/zc706/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files daq1_zc706 [list \ "../common/daq1_spi.v" \ "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ + "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ "system_top.v" ] diff --git a/projects/daq2/kc705/Makefile b/projects/daq2/kc705/Makefile index 87955d895..9b11d194e 100644 --- a/projects/daq2/kc705/Makefile +++ b/projects/daq2/kc705/Makefile @@ -14,8 +14,8 @@ M_DEPS += ../common/daq2_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/xilinx/sys_dacfifo.tcl -M_DEPS += ../../common/xilinx/sys_adcfifo.tcl +M_DEPS += ../../common/xilinx/dacfifo_bd.tcl +M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl diff --git a/projects/daq2/kc705/system_bd.tcl b/projects/daq2/kc705/system_bd.tcl index 74324936a..e454e9122 100644 --- a/projects/daq2/kc705/system_bd.tcl +++ b/projects/daq2/kc705/system_bd.tcl @@ -1,7 +1,7 @@ source $ad_hdl_dir/projects/common/kc705/kc705_system_bd.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_adcfifo.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_dacfifo.tcl +source $ad_hdl_dir/projects/common/xilinx/adcfifo_bd.tcl +source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl p_sys_adcfifo [current_bd_instance .] axi_ad9680_fifo 128 16 p_sys_dacfifo [current_bd_instance .] axi_ad9144_fifo 128 10 diff --git a/projects/daq2/kcu105/Makefile b/projects/daq2/kcu105/Makefile index 30c090bd7..05341d268 100644 --- a/projects/daq2/kcu105/Makefile +++ b/projects/daq2/kcu105/Makefile @@ -14,8 +14,8 @@ M_DEPS += ../common/daq2_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/xilinx/sys_dacfifo.tcl -M_DEPS += ../../common/xilinx/sys_adcfifo.tcl +M_DEPS += ../../common/xilinx/dacfifo_bd.tcl +M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v diff --git a/projects/daq2/kcu105/system_bd.tcl b/projects/daq2/kcu105/system_bd.tcl index c8e4c814d..f0a039dce 100644 --- a/projects/daq2/kcu105/system_bd.tcl +++ b/projects/daq2/kcu105/system_bd.tcl @@ -1,7 +1,7 @@ source $ad_hdl_dir/projects/common/kcu105/kcu105_system_bd.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_adcfifo.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_dacfifo.tcl +source $ad_hdl_dir/projects/common/xilinx/adcfifo_bd.tcl +source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl p_sys_adcfifo [current_bd_instance .] axi_ad9680_fifo 128 16 p_sys_dacfifo [current_bd_instance .] axi_ad9144_fifo 128 10 diff --git a/projects/daq2/vc707/Makefile b/projects/daq2/vc707/Makefile index d134fec7a..7d641aac8 100644 --- a/projects/daq2/vc707/Makefile +++ b/projects/daq2/vc707/Makefile @@ -14,8 +14,8 @@ M_DEPS += ../common/daq2_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/xilinx/sys_dacfifo.tcl -M_DEPS += ../../common/xilinx/sys_adcfifo.tcl +M_DEPS += ../../common/xilinx/dacfifo_bd.tcl +M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl diff --git a/projects/daq2/vc707/system_bd.tcl b/projects/daq2/vc707/system_bd.tcl index 9863621d0..5b2dd9eca 100644 --- a/projects/daq2/vc707/system_bd.tcl +++ b/projects/daq2/vc707/system_bd.tcl @@ -1,7 +1,7 @@ source $ad_hdl_dir/projects/common/vc707/vc707_system_bd.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_adcfifo.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_dacfifo.tcl +source $ad_hdl_dir/projects/common/xilinx/adcfifo_bd.tcl +source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl p_sys_adcfifo [current_bd_instance .] axi_ad9680_fifo 128 16 p_sys_dacfifo [current_bd_instance .] axi_ad9144_fifo 128 10 diff --git a/projects/daq2/zc706/Makefile b/projects/daq2/zc706/Makefile index 2f5448e0e..17dda73f3 100644 --- a/projects/daq2/zc706/Makefile +++ b/projects/daq2/zc706/Makefile @@ -14,12 +14,12 @@ M_DEPS += ../common/daq2_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3_adcfifo.tcl -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl +M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc +M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_dacfifo.tcl +M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr diff --git a/projects/daq2/zc706/system_bd.tcl b/projects/daq2/zc706/system_bd.tcl index f958ed1b2..ac9dbdac5 100644 --- a/projects/daq2/zc706/system_bd.tcl +++ b/projects/daq2/zc706/system_bd.tcl @@ -1,7 +1,7 @@ source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl -source $ad_hdl_dir/projects/common/zc706/zc706_system_plddr3_adcfifo.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_dacfifo.tcl +source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl +source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl p_sys_dacfifo [current_bd_instance .] axi_ad9144_fifo 128 10 p_plddr3_adcfifo [current_bd_instance .] axi_ad9680_fifo 128 diff --git a/projects/daq2/zc706/system_project.tcl b/projects/daq2/zc706/system_project.tcl index b9723d97e..df0fb5f19 100644 --- a/projects/daq2/zc706/system_project.tcl +++ b/projects/daq2/zc706/system_project.tcl @@ -9,7 +9,7 @@ adi_project_files daq2_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ - "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ + "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] adi_project_run daq2_zc706 diff --git a/projects/daq2/zcu102/Makefile b/projects/daq2/zcu102/Makefile index 72ff9fbcd..14437845c 100644 --- a/projects/daq2/zcu102/Makefile +++ b/projects/daq2/zcu102/Makefile @@ -16,8 +16,8 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_dacfifo.tcl -M_DEPS += ../../common/xilinx/sys_adcfifo.tcl +M_DEPS += ../../common/xilinx/dacfifo_bd.tcl +M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr diff --git a/projects/daq2/zcu102/system_bd.tcl b/projects/daq2/zcu102/system_bd.tcl index 84f00d318..53c3cd353 100644 --- a/projects/daq2/zcu102/system_bd.tcl +++ b/projects/daq2/zcu102/system_bd.tcl @@ -1,7 +1,7 @@ source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_adcfifo.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_dacfifo.tcl +source $ad_hdl_dir/projects/common/xilinx/adcfifo_bd.tcl +source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl p_sys_adcfifo [current_bd_instance .] axi_ad9680_fifo 128 16 p_sys_dacfifo [current_bd_instance .] axi_ad9144_fifo 128 10 diff --git a/projects/daq3/kcu105/Makefile b/projects/daq3/kcu105/Makefile index 881140d7d..ffbe61b23 100644 --- a/projects/daq3/kcu105/Makefile +++ b/projects/daq3/kcu105/Makefile @@ -14,8 +14,8 @@ M_DEPS += ../common/daq3_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/xilinx/sys_dacfifo.tcl -M_DEPS += ../../common/xilinx/sys_adcfifo.tcl +M_DEPS += ../../common/xilinx/dacfifo_bd.tcl +M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v diff --git a/projects/daq3/kcu105/system_bd.tcl b/projects/daq3/kcu105/system_bd.tcl index a4f92f78a..abebbf607 100644 --- a/projects/daq3/kcu105/system_bd.tcl +++ b/projects/daq3/kcu105/system_bd.tcl @@ -1,7 +1,7 @@ source $ad_hdl_dir/projects/common/kcu105/kcu105_system_bd.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_adcfifo.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_dacfifo.tcl +source $ad_hdl_dir/projects/common/xilinx/adcfifo_bd.tcl +source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl p_sys_adcfifo [current_bd_instance .] axi_ad9680_fifo 128 16 p_sys_dacfifo [current_bd_instance .] axi_ad9152_fifo 128 10 diff --git a/projects/daq3/zc706/Makefile b/projects/daq3/zc706/Makefile index 61b1853cd..31f66d8b8 100644 --- a/projects/daq3/zc706/Makefile +++ b/projects/daq3/zc706/Makefile @@ -14,12 +14,12 @@ M_DEPS += ../common/daq3_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3_adcfifo.tcl -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl +M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc +M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_dacfifo.tcl +M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr diff --git a/projects/daq3/zc706/system_bd.tcl b/projects/daq3/zc706/system_bd.tcl index 17d016d53..a41aee3d9 100644 --- a/projects/daq3/zc706/system_bd.tcl +++ b/projects/daq3/zc706/system_bd.tcl @@ -1,7 +1,7 @@ source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl -source $ad_hdl_dir/projects/common/zc706/zc706_system_plddr3_adcfifo.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_dacfifo.tcl +source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl +source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl p_sys_dacfifo [current_bd_instance .] axi_ad9152_fifo 128 10 p_plddr3_adcfifo [current_bd_instance .] axi_ad9680_fifo 128 diff --git a/projects/daq3/zc706/system_project.tcl b/projects/daq3/zc706/system_project.tcl index 281ddab91..f31e9ec57 100644 --- a/projects/daq3/zc706/system_project.tcl +++ b/projects/daq3/zc706/system_project.tcl @@ -11,7 +11,7 @@ adi_project_files daq3_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ - "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ + "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] set_property part "xc7z045ffg900-3" [get_runs synth_1] diff --git a/projects/fmcadc2/vc707/Makefile b/projects/fmcadc2/vc707/Makefile index ab081ce8b..d1dce3f42 100644 --- a/projects/fmcadc2/vc707/Makefile +++ b/projects/fmcadc2/vc707/Makefile @@ -14,7 +14,7 @@ M_DEPS += ../common/fmcadc2_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/xilinx/sys_adcfifo.tcl +M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl diff --git a/projects/fmcadc2/vc707/system_bd.tcl b/projects/fmcadc2/vc707/system_bd.tcl index b92c89f02..f65141c9e 100644 --- a/projects/fmcadc2/vc707/system_bd.tcl +++ b/projects/fmcadc2/vc707/system_bd.tcl @@ -1,6 +1,6 @@ source $ad_hdl_dir/projects/common/vc707/vc707_system_bd.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_adcfifo.tcl +source $ad_hdl_dir/projects/common/xilinx/adcfifo_bd.tcl p_sys_adcfifo [current_bd_instance .] axi_ad9625_fifo 256 18 diff --git a/projects/fmcadc2/zc706/Makefile b/projects/fmcadc2/zc706/Makefile index f90b83008..8e2db7419 100644 --- a/projects/fmcadc2/zc706/Makefile +++ b/projects/fmcadc2/zc706/Makefile @@ -14,9 +14,9 @@ M_DEPS += ../common/fmcadc2_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3_adcfifo.tcl -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl +M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc +M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_lvds_out.v diff --git a/projects/fmcadc2/zc706/system_bd.tcl b/projects/fmcadc2/zc706/system_bd.tcl index f7567d53a..3320942af 100644 --- a/projects/fmcadc2/zc706/system_bd.tcl +++ b/projects/fmcadc2/zc706/system_bd.tcl @@ -1,6 +1,6 @@ source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl -source $ad_hdl_dir/projects/common/zc706/zc706_system_plddr3_adcfifo.tcl +source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl p_plddr3_adcfifo [current_bd_instance .] axi_ad9625_fifo 256 diff --git a/projects/fmcadc2/zc706/system_project.tcl b/projects/fmcadc2/zc706/system_project.tcl index 777034487..4491020cb 100644 --- a/projects/fmcadc2/zc706/system_project.tcl +++ b/projects/fmcadc2/zc706/system_project.tcl @@ -11,7 +11,7 @@ adi_project_files fmcadc2_zc706 [list \ "$ad_hdl_dir/library/xilinx/common/ad_lvds_out.v" \ "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_sysref_gen.v" \ - "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ + "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] adi_project_run fmcadc2_zc706 diff --git a/projects/fmcadc4/zc706/Makefile b/projects/fmcadc4/zc706/Makefile index a4aa9799c..23a7d5cc7 100644 --- a/projects/fmcadc4/zc706/Makefile +++ b/projects/fmcadc4/zc706/Makefile @@ -14,9 +14,9 @@ M_DEPS += ../common/fmcadc4_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3_adcfifo.tcl -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl +M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc +M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v diff --git a/projects/fmcadc4/zc706/system_bd.tcl b/projects/fmcadc4/zc706/system_bd.tcl index 91e5cfa22..1021811e5 100644 --- a/projects/fmcadc4/zc706/system_bd.tcl +++ b/projects/fmcadc4/zc706/system_bd.tcl @@ -1,6 +1,6 @@ source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl -source $ad_hdl_dir/projects/common/zc706/zc706_system_plddr3_adcfifo.tcl +source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl p_plddr3_adcfifo [current_bd_instance .] axi_ad9680_fifo 256 diff --git a/projects/fmcadc4/zc706/system_project.tcl b/projects/fmcadc4/zc706/system_project.tcl index 63fe133b5..5e1f9af46 100644 --- a/projects/fmcadc4/zc706/system_project.tcl +++ b/projects/fmcadc4/zc706/system_project.tcl @@ -11,7 +11,7 @@ adi_project_files fmcadc4_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ - "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ + "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] adi_project_run fmcadc4_zc706 diff --git a/projects/fmcadc5/vc707/Makefile b/projects/fmcadc5/vc707/Makefile index 99f2911e2..308419008 100644 --- a/projects/fmcadc5/vc707/Makefile +++ b/projects/fmcadc5/vc707/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../common/fmcadc5_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/xilinx/sys_adcfifo.tcl +M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl diff --git a/projects/fmcadc5/vc707/system_bd.tcl b/projects/fmcadc5/vc707/system_bd.tcl index 282184189..9c5a48ffe 100644 --- a/projects/fmcadc5/vc707/system_bd.tcl +++ b/projects/fmcadc5/vc707/system_bd.tcl @@ -1,6 +1,6 @@ source $ad_hdl_dir/projects/common/vc707/vc707_system_bd.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_adcfifo.tcl +source $ad_hdl_dir/projects/common/xilinx/adcfifo_bd.tcl source ../common/fmcadc5_bd.tcl # ila diff --git a/projects/fmcomms11/zc706/Makefile b/projects/fmcomms11/zc706/Makefile index 2fe7881e4..5e16bade4 100644 --- a/projects/fmcomms11/zc706/Makefile +++ b/projects/fmcomms11/zc706/Makefile @@ -14,12 +14,12 @@ M_DEPS += ../common/fmcomms11_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3_adcfifo.tcl -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl +M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc +M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_dacfifo.tcl +M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9162/axi_ad9162.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr diff --git a/projects/fmcomms11/zc706/system_bd.tcl b/projects/fmcomms11/zc706/system_bd.tcl index e85adf8c4..3c332f8dd 100644 --- a/projects/fmcomms11/zc706/system_bd.tcl +++ b/projects/fmcomms11/zc706/system_bd.tcl @@ -1,7 +1,7 @@ source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl -source $ad_hdl_dir/projects/common/zc706/zc706_system_plddr3_adcfifo.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_dacfifo.tcl +source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl +source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl p_sys_dacfifo [current_bd_instance .] axi_ad9162_fifo 256 10 p_plddr3_adcfifo [current_bd_instance .] axi_ad9625_fifo 256 diff --git a/projects/fmcomms11/zc706/system_project.tcl b/projects/fmcomms11/zc706/system_project.tcl index cdf235a0d..7ee17d09e 100644 --- a/projects/fmcomms11/zc706/system_project.tcl +++ b/projects/fmcomms11/zc706/system_project.tcl @@ -11,7 +11,7 @@ adi_project_files fmcomms11_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ - "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ + "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] adi_project_run fmcomms11_zc706 diff --git a/projects/fmcomms7/zc706/Makefile b/projects/fmcomms7/zc706/Makefile index f51629899..c14bce1d8 100644 --- a/projects/fmcomms7/zc706/Makefile +++ b/projects/fmcomms7/zc706/Makefile @@ -14,12 +14,12 @@ M_DEPS += ../common/fmcomms7_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3_adcfifo.tcl -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl +M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc +M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../common/xilinx/sys_dacfifo.tcl +M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr diff --git a/projects/fmcomms7/zc706/system_bd.tcl b/projects/fmcomms7/zc706/system_bd.tcl index e2fd79784..67b944078 100644 --- a/projects/fmcomms7/zc706/system_bd.tcl +++ b/projects/fmcomms7/zc706/system_bd.tcl @@ -1,7 +1,7 @@ source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl -source $ad_hdl_dir/projects/common/zc706/zc706_system_plddr3_adcfifo.tcl -source $ad_hdl_dir/projects/common/xilinx/sys_dacfifo.tcl +source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl +source $ad_hdl_dir/projects/common/xilinx/dacfifo_bd.tcl p_plddr3_adcfifo [current_bd_instance .] axi_ad9680_fifo 128 p_sys_dacfifo [current_bd_instance .] axi_ad9144_fifo 256 10 diff --git a/projects/fmcomms7/zc706/system_project.tcl b/projects/fmcomms7/zc706/system_project.tcl index e82dc8160..819af4490 100644 --- a/projects/fmcomms7/zc706/system_project.tcl +++ b/projects/fmcomms7/zc706/system_project.tcl @@ -11,7 +11,7 @@ adi_project_files fmcomms7_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ - "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ + "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc] diff --git a/projects/usdrx1/zc706/Makefile b/projects/usdrx1/zc706/Makefile index 0d892b3f2..e1657a9ad 100644 --- a/projects/usdrx1/zc706/Makefile +++ b/projects/usdrx1/zc706/Makefile @@ -14,9 +14,9 @@ M_DEPS += ../common/usdrx1_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/zc706/zc706_system_plddr3_adcfifo.tcl -M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_mig.prj +M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl +M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc +M_DEPS += ../../common/zc706/zc706_plddr3_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../../library/xilinx/common/ad_iobuf.v diff --git a/projects/usdrx1/zc706/system_bd.tcl b/projects/usdrx1/zc706/system_bd.tcl index 239c0152f..a8ce6b623 100644 --- a/projects/usdrx1/zc706/system_bd.tcl +++ b/projects/usdrx1/zc706/system_bd.tcl @@ -1,7 +1,7 @@ source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl -source $ad_hdl_dir/projects/common/zc706/zc706_system_plddr3_adcfifo.tcl +source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl p_plddr3_adcfifo [current_bd_instance .] usdrx1_fifo 512 diff --git a/projects/usdrx1/zc706/system_project.tcl b/projects/usdrx1/zc706/system_project.tcl index 30bcb0e78..3d0c79ff4 100644 --- a/projects/usdrx1/zc706/system_project.tcl +++ b/projects/usdrx1/zc706/system_project.tcl @@ -12,7 +12,7 @@ adi_project_files usdrx1_zc706 [list \ "../common/usdrx1_spi.v" \ "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/library/common/ad_sysref_gen.v" \ - "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ + "$ad_hdl_dir/projects/common/zc706/zc706_plddr3_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] set_property is_enabled false [get_files *system_axi*_spi*.xdc]