From f0027faf88be1e3c5bdc5297c7176db6a17c6cc2 Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Wed, 8 May 2019 09:03:27 +0100 Subject: [PATCH] adi_jesd204: Add support of 16 lanes --- library/intel/adi_jesd204/adi_jesd204_hw.tcl | 2 +- .../jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_hw.tcl | 2 +- library/jesd204/axi_jesd204_rx/axi_jesd204_rx_hw.tcl | 2 +- library/jesd204/axi_jesd204_tx/axi_jesd204_tx_hw.tcl | 2 +- library/jesd204/jesd204_rx/jesd204_rx_hw.tcl | 2 +- library/jesd204/jesd204_tx/jesd204_tx_hw.tcl | 2 +- 6 files changed, 6 insertions(+), 6 deletions(-) diff --git a/library/intel/adi_jesd204/adi_jesd204_hw.tcl b/library/intel/adi_jesd204/adi_jesd204_hw.tcl index 8b1afb5de..e87e41702 100644 --- a/library/intel/adi_jesd204/adi_jesd204_hw.tcl +++ b/library/intel/adi_jesd204/adi_jesd204_hw.tcl @@ -102,7 +102,7 @@ ad_ip_parameter REFCLK_FREQUENCY FLOAT 500.0 false { \ ad_ip_parameter NUM_OF_LANES POSITIVE 4 false { \ DISPLAY_NAME "Number of Lanes" \ - ALLOWED_RANGES 1:8 + ALLOWED_RANGES 1:16 } ad_ip_parameter BONDING_CLOCKS_EN BOOLEAN 0 false { \ diff --git a/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_hw.tcl b/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_hw.tcl index ee586d6f5..a2a8ee954 100644 --- a/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_hw.tcl +++ b/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_hw.tcl @@ -72,7 +72,7 @@ set group "JESD204 Deframer Configuration" ad_ip_parameter NUM_LANES INTEGER 1 true [list \ DISPLAY_NAME "Number of Lanes (L)" \ DISPLAY_UNITS "lanes" \ - ALLOWED_RANGES {1 2 3 4 8} \ + ALLOWED_RANGES {1 2 3 4 8 16} \ GROUP $group \ ] diff --git a/library/jesd204/axi_jesd204_rx/axi_jesd204_rx_hw.tcl b/library/jesd204/axi_jesd204_rx/axi_jesd204_rx_hw.tcl index 4aff8fbcf..f64504727 100755 --- a/library/jesd204/axi_jesd204_rx/axi_jesd204_rx_hw.tcl +++ b/library/jesd204/axi_jesd204_rx/axi_jesd204_rx_hw.tcl @@ -78,7 +78,7 @@ set_parameter_property ID HDL_PARAMETER true add_parameter NUM_LANES INTEGER 1 set_parameter_property NUM_LANES DISPLAY_NAME "Number of Lanes" -set_parameter_property NUM_LANES ALLOWED_RANGES 1:8 +set_parameter_property NUM_LANES ALLOWED_RANGES 1:16 set_parameter_property NUM_LANES HDL_PARAMETER true add_parameter NUM_LINKS INTEGER 1 diff --git a/library/jesd204/axi_jesd204_tx/axi_jesd204_tx_hw.tcl b/library/jesd204/axi_jesd204_tx/axi_jesd204_tx_hw.tcl index 64d1dee97..c0812a505 100644 --- a/library/jesd204/axi_jesd204_tx/axi_jesd204_tx_hw.tcl +++ b/library/jesd204/axi_jesd204_tx/axi_jesd204_tx_hw.tcl @@ -76,7 +76,7 @@ set_parameter_property ID HDL_PARAMETER true add_parameter NUM_LANES INTEGER 1 set_parameter_property NUM_LANES DISPLAY_NAME "Number of Lanes" -set_parameter_property NUM_LANES ALLOWED_RANGES 1:8 +set_parameter_property NUM_LANES ALLOWED_RANGES 1:16 set_parameter_property NUM_LANES HDL_PARAMETER true add_parameter NUM_LINKS INTEGER 1 diff --git a/library/jesd204/jesd204_rx/jesd204_rx_hw.tcl b/library/jesd204/jesd204_rx/jesd204_rx_hw.tcl index 38d642522..204755e90 100755 --- a/library/jesd204/jesd204_rx/jesd204_rx_hw.tcl +++ b/library/jesd204/jesd204_rx/jesd204_rx_hw.tcl @@ -81,7 +81,7 @@ ad_ip_files jesd204_rx [list \ add_parameter NUM_LANES INTEGER 1 set_parameter_property NUM_LANES DISPLAY_NAME "Number of Lanes" -set_parameter_property NUM_LANES ALLOWED_RANGES 1:8 +set_parameter_property NUM_LANES ALLOWED_RANGES 1:16 set_parameter_property NUM_LANES HDL_PARAMETER true add_parameter NUM_LINKS INTEGER 1 diff --git a/library/jesd204/jesd204_tx/jesd204_tx_hw.tcl b/library/jesd204/jesd204_tx/jesd204_tx_hw.tcl index f059e9e8c..eadf913ef 100644 --- a/library/jesd204/jesd204_tx/jesd204_tx_hw.tcl +++ b/library/jesd204/jesd204_tx/jesd204_tx_hw.tcl @@ -75,7 +75,7 @@ ad_ip_files jesd204_tx [list \ add_parameter NUM_LANES INTEGER 1 set_parameter_property NUM_LANES DISPLAY_NAME "Number of Lanes" -set_parameter_property NUM_LANES ALLOWED_RANGES 1:8 +set_parameter_property NUM_LANES ALLOWED_RANGES 1:16 set_parameter_property NUM_LANES HDL_PARAMETER true add_parameter NUM_LINKS INTEGER 1