diff --git a/projects/imageon/zc706/system_top.v b/projects/imageon/zc706/system_top.v index 7fc0062bc..9b45c023a 100644 --- a/projects/imageon/zc706/system_top.v +++ b/projects/imageon/zc706/system_top.v @@ -133,7 +133,7 @@ module system_top ( output [15:0] hdmi_tx_data; output hdmi_tx_spdif; - output hdmi_iic_rstn; + inout hdmi_iic_rstn; inout hdmi_iic_scl; inout hdmi_iic_sda; @@ -145,7 +145,6 @@ module system_top ( // base hdmi - assign hdmi_iic_rstn = 1'b1; assign hdmi_out_clk = 1'd0; assign hdmi_vsync = 1'd0; assign hdmi_hsync = 1'd0; @@ -155,6 +154,12 @@ module system_top ( // instantiations + ad_iobuf #(.DATA_WIDTH(1)) i_gpio_hdmi_iic_rstn ( + .dio_t (gpio_t[33]), + .dio_i (gpio_o[33]), + .dio_o (gpio_i[33]), + .dio_p (hdmi_iic_rstn)); + ad_iobuf #(.DATA_WIDTH(1)) i_gpio_hdmi ( .dio_t (gpio_t[32]), .dio_i (gpio_o[32]), diff --git a/projects/imageon/zed/system_top.v b/projects/imageon/zed/system_top.v index b93a6962b..80b6e87b0 100644 --- a/projects/imageon/zed/system_top.v +++ b/projects/imageon/zed/system_top.v @@ -152,7 +152,7 @@ module system_top ( output [15:0] hdmi_tx_data; output hdmi_tx_spdif; - output hdmi_iic_rstn; + inout hdmi_iic_rstn; inout hdmi_iic_scl; inout hdmi_iic_sda; @@ -172,7 +172,6 @@ module system_top ( // base hdmi - assign hdmi_iic_rstn = 1'b1; assign hdmi_out_clk = 1'd0; assign hdmi_vsync = 1'd0; assign hdmi_hsync = 1'd0; @@ -182,6 +181,12 @@ module system_top ( // instantiations + ad_iobuf #(.DATA_WIDTH(1)) i_gpio_hdmi_iic_rstn ( + .dio_t (gpio_t[33]), + .dio_i (gpio_o[33]), + .dio_o (gpio_i[33]), + .dio_p (hdmi_iic_rstn)); + ad_iobuf #(.DATA_WIDTH(1)) i_gpio_hdmi ( .dio_t (gpio_t[32]), .dio_i (gpio_o[32]),