From f4774d6f98835db5f315012d6bd204596ab8a34c Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Mon, 15 Dec 2014 13:00:13 -0500 Subject: [PATCH] fifo2s: false path typo on source signals --- library/axi_fifo2s/axi_fifo2s_constr.xdc | 13 ++++++++----- 1 file changed, 8 insertions(+), 5 deletions(-) diff --git a/library/axi_fifo2s/axi_fifo2s_constr.xdc b/library/axi_fifo2s/axi_fifo2s_constr.xdc index e7ba7f13f..9e54c6a6c 100644 --- a/library/axi_fifo2s/axi_fifo2s_constr.xdc +++ b/library/axi_fifo2s/axi_fifo2s_constr.xdc @@ -1,13 +1,16 @@ -set_false_path -from [get_cells *dma_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ +set_false_path -from [get_cells *dma_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ -to [get_cells *axi_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] -set_false_path -from [get_cells *axi_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ +set_false_path -from [get_cells *axi_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ -to [get_cells *dma_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] -set_false_path -from [get_cells *adc_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ +set_false_path -from [get_cells *adc_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ -to [get_cells *axi_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] -set_false_path -from [get_cells *axi_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ +set_false_path -from [get_cells *axi_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ -to [get_cells *adc_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] - +set_false_path -from [get_cells *d_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *up_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] +set_false_path -from [get_cells *adc_rel_waddr* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *axi_rel_waddr* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]