From f4e352339070560053378554c27885eca3015ed7 Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Mon, 14 Dec 2015 15:34:56 +0200 Subject: [PATCH] ad7616_sdz: Update IO constraints --- .../ad7616_sdz/zc706/parallel_if_cnstr.xdc | 36 ------------------- .../ad7616_sdz/zc706/parallel_if_constr.xdc | 36 +++++++++++++++++++ projects/ad7616_sdz/zc706/serial_if_cnstr.xdc | 23 ------------ .../ad7616_sdz/zc706/serial_if_constr.xdc | 29 +++++++++++++++ 4 files changed, 65 insertions(+), 59 deletions(-) delete mode 100644 projects/ad7616_sdz/zc706/parallel_if_cnstr.xdc create mode 100644 projects/ad7616_sdz/zc706/parallel_if_constr.xdc delete mode 100644 projects/ad7616_sdz/zc706/serial_if_cnstr.xdc create mode 100644 projects/ad7616_sdz/zc706/serial_if_constr.xdc diff --git a/projects/ad7616_sdz/zc706/parallel_if_cnstr.xdc b/projects/ad7616_sdz/zc706/parallel_if_cnstr.xdc deleted file mode 100644 index e7903fc5d..000000000 --- a/projects/ad7616_sdz/zc706/parallel_if_cnstr.xdc +++ /dev/null @@ -1,36 +0,0 @@ - -# ad7616 - -set_property -dict {PACKAGE_PIN AC14 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[0] ] ; ## FMC_LPC_LA10_P -set_property -dict {PACKAGE_PIN AJ15 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[1] ] ; ## FMC_LPC_LA04_P -set_property -dict {PACKAGE_PIN AH14 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[2] ] ; ## FMC_LPC_LA09_P -set_property -dict {PACKAGE_PIN AG12 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[3] ] ; ## FMC_LPC_LA03_P -set_property -dict {PACKAGE_PIN AE15 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[4] ] ; ## FMC_LPC_LA05_N -set_property -dict {PACKAGE_PIN AF12 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[5] ] ; ## FMC_LPC_LA02_N -set_property -dict {PACKAGE_PIN AC12 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[6] ] ; ## FMC_LPC_LA06_N -set_property -dict {PACKAGE_PIN AF13 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[7] ] ; ## FMC_LPC_LA00_CC_N -set_property -dict {PACKAGE_PIN AE16 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[8] ] ; ## FMC_LPC_LA05_P -set_property -dict {PACKAGE_PIN AE12 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[9] ] ; ## FMC_LPC_LA02_P -set_property -dict {PACKAGE_PIN AE17 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[10]] ; ## FMC_LPC_LA16_N -set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[11]] ; ## FMC_LPC_LA00_CC_P -set_property -dict {PACKAGE_PIN AG15 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[12]] ; ## FMC_LPC_LA01_CC_N -set_property -dict {PACKAGE_PIN AG16 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[13]] ; ## FMC_LPC_CLK0_M2C_N -set_property -dict {PACKAGE_PIN AG17 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[14]] ; ## FMC_LPC_CLK0_M2C_P -set_property -dict {PACKAGE_PIN AF15 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports db[15]] ; ## FMC_LPC_LA01_CC_P - -set_property -dict {PACKAGE_PIN AH12 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports rd_n] ; ## FMC_LPC_LA03_N -set_property -dict {PACKAGE_PIN AH13 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports wr_n] ; ## FMC_LPC_LA09_N - -# control lines - -set_property -dict {PACKAGE_PIN AF30 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports convst] ; ## FMC_LPC_LA24_P -set_property -dict {PACKAGE_PIN AH29 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports chsel[0]] ; ## FMC_LPC_LA21_N -set_property -dict {PACKAGE_PIN AK30 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports chsel[1]] ; ## FMC_LPC_LA26_N -set_property -dict {PACKAGE_PIN AF29 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports chsel[2]] ; ## FMC_LPC_LA25_P -set_property -dict {PACKAGE_PIN AH28 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports hw_rngsel[0]] ; ## FMC_LPC_LA21_P -set_property -dict {PACKAGE_PIN AJ30 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports hw_rngsel[1]] ; ## FMC_LPC_LA26_P -set_property -dict {PACKAGE_PIN AC13 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports busy] ; ## FMC_LPC_LA10_N -set_property -dict {PACKAGE_PIN AJ28 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports seq_en] ; ## FMC_LPC_LA27_P -set_property -dict {PACKAGE_PIN AK28 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports reset_n] ; ## FMC_LPC_LA22_N -set_property -dict {PACKAGE_PIN AK15 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports cs_n] ; ## FMC_LPC_LA04_N - diff --git a/projects/ad7616_sdz/zc706/parallel_if_constr.xdc b/projects/ad7616_sdz/zc706/parallel_if_constr.xdc new file mode 100644 index 000000000..af2f8f3f9 --- /dev/null +++ b/projects/ad7616_sdz/zc706/parallel_if_constr.xdc @@ -0,0 +1,36 @@ + +# ad7616 + +set_property -dict {PACKAGE_PIN AC14 IOSTANDARD LVCMOS25} [get_ports db[0] ] ; ## FMC_LPC_LA10_P +set_property -dict {PACKAGE_PIN AJ15 IOSTANDARD LVCMOS25} [get_ports db[1] ] ; ## FMC_LPC_LA04_P +set_property -dict {PACKAGE_PIN AH14 IOSTANDARD LVCMOS25} [get_ports db[2] ] ; ## FMC_LPC_LA09_P +set_property -dict {PACKAGE_PIN AG12 IOSTANDARD LVCMOS25} [get_ports db[3] ] ; ## FMC_LPC_LA03_P +set_property -dict {PACKAGE_PIN AE15 IOSTANDARD LVCMOS25} [get_ports db[4] ] ; ## FMC_LPC_LA05_N +set_property -dict {PACKAGE_PIN AF12 IOSTANDARD LVCMOS25} [get_ports db[5] ] ; ## FMC_LPC_LA02_N +set_property -dict {PACKAGE_PIN AC12 IOSTANDARD LVCMOS25} [get_ports db[6] ] ; ## FMC_LPC_LA06_N +set_property -dict {PACKAGE_PIN AF13 IOSTANDARD LVCMOS25} [get_ports db[7] ] ; ## FMC_LPC_LA00_CC_N +set_property -dict {PACKAGE_PIN AE16 IOSTANDARD LVCMOS25} [get_ports db[8] ] ; ## FMC_LPC_LA05_P +set_property -dict {PACKAGE_PIN AE12 IOSTANDARD LVCMOS25} [get_ports db[9] ] ; ## FMC_LPC_LA02_P +set_property -dict {PACKAGE_PIN AE17 IOSTANDARD LVCMOS25} [get_ports db[10]] ; ## FMC_LPC_LA16_N +set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVCMOS25} [get_ports db[11]] ; ## FMC_LPC_LA00_CC_P +set_property -dict {PACKAGE_PIN AG15 IOSTANDARD LVCMOS25} [get_ports db[12]] ; ## FMC_LPC_LA01_CC_N +set_property -dict {PACKAGE_PIN AG16 IOSTANDARD LVCMOS25} [get_ports db[13]] ; ## FMC_LPC_CLK0_M2C_N +set_property -dict {PACKAGE_PIN AG17 IOSTANDARD LVCMOS25} [get_ports db[14]] ; ## FMC_LPC_CLK0_M2C_P +set_property -dict {PACKAGE_PIN AF15 IOSTANDARD LVCMOS25} [get_ports db[15]] ; ## FMC_LPC_LA01_CC_P + +set_property -dict {PACKAGE_PIN AH12 IOSTANDARD LVCMOS25} [get_ports rd_n] ; ## FMC_LPC_LA03_N +set_property -dict {PACKAGE_PIN AH13 IOSTANDARD LVCMOS25} [get_ports wr_n] ; ## FMC_LPC_LA09_N + +# control lines + +set_property -dict {PACKAGE_PIN AF30 IOSTANDARD LVCOMS25} [get_ports convst] ; ## FMC_LPC_LA24_P +set_property -dict {PACKAGE_PIN AH29 IOSTANDARD LVCOMS25} [get_ports chsel[0]] ; ## FMC_LPC_LA21_N +set_property -dict {PACKAGE_PIN AK30 IOSTANDARD LVCOMS25} [get_ports chsel[1]] ; ## FMC_LPC_LA26_N +set_property -dict {PACKAGE_PIN AF29 IOSTANDARD LVCOMS25} [get_ports chsel[2]] ; ## FMC_LPC_LA25_P +set_property -dict {PACKAGE_PIN AH28 IOSTANDARD LVCOMS25} [get_ports hw_rngsel[0]] ; ## FMC_LPC_LA21_P +set_property -dict {PACKAGE_PIN AJ30 IOSTANDARD LVCOMS25} [get_ports hw_rngsel[1]] ; ## FMC_LPC_LA26_P +set_property -dict {PACKAGE_PIN AC13 IOSTANDARD LVCOMS25} [get_ports busy] ; ## FMC_LPC_LA10_N +set_property -dict {PACKAGE_PIN AJ28 IOSTANDARD LVCOMS25} [get_ports seq_en] ; ## FMC_LPC_LA27_P +set_property -dict {PACKAGE_PIN AK28 IOSTANDARD LVCOMS25} [get_ports reset_n] ; ## FMC_LPC_LA22_N +set_property -dict {PACKAGE_PIN AK15 IOSTANDARD LVCOMS25} [get_ports cs_n] ; ## FMC_LPC_LA04_N + diff --git a/projects/ad7616_sdz/zc706/serial_if_cnstr.xdc b/projects/ad7616_sdz/zc706/serial_if_cnstr.xdc deleted file mode 100644 index b977ea93f..000000000 --- a/projects/ad7616_sdz/zc706/serial_if_cnstr.xdc +++ /dev/null @@ -1,23 +0,0 @@ - -# ad7616 - -# data interface - -set_property -dict {PACKAGE_PIN AH12 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports sclk] ; ## FMC_LPC_LA03_N -set_property -dict {PACKAGE_PIN AB12 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports sdo] ; ## FMC_LPC_LA06_P -set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports sdi_0] ; ## FMC_LPC_LA00_CC_P -set_property -dict {PACKAGE_PIN AG15 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports sdi_1] ; ## FMC_LPC_LA01_CC_N - -# control lines - -set_property -dict {PACKAGE_PIN AF30 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports convst] ; ## FMC_LPC_LA24_P -set_property -dict {PACKAGE_PIN AH29 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports chsel[0]] ; ## FMC_LPC_LA21_N -set_property -dict {PACKAGE_PIN AK30 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports chsel[1]] ; ## FMC_LPC_LA26_N -set_property -dict {PACKAGE_PIN AF29 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports chsel[2]] ; ## FMC_LPC_LA25_P -set_property -dict {PACKAGE_PIN AH28 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports hw_rngsel[0]] ; ## FMC_LPC_LA21_P -set_property -dict {PACKAGE_PIN AJ30 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports hw_rngsel[1]] ; ## FMC_LPC_LA26_P -set_property -dict {PACKAGE_PIN AC13 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports busy] ; ## FMC_LPC_LA10_N -set_property -dict {PACKAGE_PIN AJ28 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports seq_en] ; ## FMC_LPC_LA27_P -set_property -dict {PACKAGE_PIN AK28 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports reset_n] ; ## FMC_LPC_LA22_N -set_property -dict {PACKAGE_PIN AK15 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports cs_n] ; ## FMC_LPC_LA04_N - diff --git a/projects/ad7616_sdz/zc706/serial_if_constr.xdc b/projects/ad7616_sdz/zc706/serial_if_constr.xdc new file mode 100644 index 000000000..e360f98d3 --- /dev/null +++ b/projects/ad7616_sdz/zc706/serial_if_constr.xdc @@ -0,0 +1,29 @@ + +# ad7616 + +# data interface + +set_property -dict {PACKAGE_PIN AH12 IOSTANDARD LVCMOS25} [get_ports spi_sclk] ; ## FMC_LPC_LA03_N +set_property -dict {PACKAGE_PIN AB12 IOSTANDARD LVCMOS25} [get_ports spi_sdo] ; ## FMC_LPC_LA06_P +set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVCMOS25} [get_ports spi_sdi_0] ; ## FMC_LPC_LA00_CC_P +set_property -dict {PACKAGE_PIN AG15 IOSTANDARD LVCMOS25} [get_ports spi_sdi_1] ; ## FMC_LPC_LA01_CC_N +set_property -dict {PACKAGE_PIN AK15 IOSTANDARD LVCMOS25} [get_ports spi_cs_n] ; ## FMC_LPC_LA04_N + +# control lines + +set_property -dict {PACKAGE_PIN AF30 IOSTANDARD LVCMOS25} [get_ports adc_convst] ; ## FMC_LPC_LA24_P +set_property -dict {PACKAGE_PIN AH29 IOSTANDARD LVCMOS25} [get_ports adc_chsel[0]] ; ## FMC_LPC_LA21_N +set_property -dict {PACKAGE_PIN AK30 IOSTANDARD LVCMOS25} [get_ports adc_chsel[1]] ; ## FMC_LPC_LA26_N +set_property -dict {PACKAGE_PIN AF29 IOSTANDARD LVCMOS25} [get_ports adc_chsel[2]] ; ## FMC_LPC_LA25_P +set_property -dict {PACKAGE_PIN AH28 IOSTANDARD LVCMOS25} [get_ports adc_hw_rngsel[0]] ; ## FMC_LPC_LA21_P +set_property -dict {PACKAGE_PIN AJ30 IOSTANDARD LVCMOS25} [get_ports adc_hw_rngsel[1]] ; ## FMC_LPC_LA26_P +set_property -dict {PACKAGE_PIN AC13 IOSTANDARD LVCMOS25} [get_ports adc_busy] ; ## FMC_LPC_LA10_N +set_property -dict {PACKAGE_PIN AJ28 IOSTANDARD LVCMOS25} [get_ports adc_seq_en] ; ## FMC_LPC_LA27_P +set_property -dict {PACKAGE_PIN AK28 IOSTANDARD LVCMOS25} [get_ports adc_reset_n] ; ## FMC_LPC_LA22_N + +set_property -dict {PACKAGE_PIN AG16 IOSTANDARD LVCMOS25} [get_ports adc_os[0]] ; ## FMC_LPC_CLK0_M2C_N +set_property -dict {PACKAGE_PIN AG17 IOSTANDARD LVCMOS25} [get_ports adc_os[1]] ; ## FMC_LPC_CLK0_M2C_P +set_property -dict {PACKAGE_PIN AF15 IOSTANDARD LVCMOS25} [get_ports adc_os[2]] ; ## FMC_LPC_LA01_CC_P +set_property -dict {PACKAGE_PIN AH13 IOSTANDARD LVCMOS25} [get_ports adc_burst] ; ## FMC_LPC_LA09_N +set_property -dict {PACKAGE_PIN AF12 IOSTANDARD LVCMOS25} [get_ports adc_crcen] ; ## FMC_LPC_LA02_N +