ad9467_fmc: Delete asynchronous clock group definition

This is a very bad way to handle timing. All the false path
should be defined explicitly, rather than define asynchronous clock
domains.
main
Istvan Csomortani 2017-02-10 16:21:35 +02:00
parent 0dae754f2d
commit f5f1f47691
2 changed files with 0 additions and 6 deletions

View File

@ -32,6 +32,3 @@ set_property -dict {PACKAGE_PIN Y30 IOSTANDARD LVCMOS25} [get_ports spi_sdio
# clocks
create_clock -name adc_clk -period 4.00 [get_ports adc_clk_in_p]
set_clock_groups -asynchronous -group {adc_clk}

View File

@ -32,6 +32,3 @@ set_property -dict {PACKAGE_PIN A21 IOSTANDARD LVCMOS25} [get_ports spi_sdio
# clocks
create_clock -name adc_clk -period 4.00 [get_ports adc_clk_in_p]
set_clock_groups -asynchronous -group {adc_clk}