diff --git a/projects/ad9467_fmc/kc705/system_constr.xdc b/projects/ad9467_fmc/kc705/system_constr.xdc index 8406a49fe..dcdcd551a 100644 --- a/projects/ad9467_fmc/kc705/system_constr.xdc +++ b/projects/ad9467_fmc/kc705/system_constr.xdc @@ -32,6 +32,3 @@ set_property -dict {PACKAGE_PIN Y30 IOSTANDARD LVCMOS25} [get_ports spi_sdio # clocks create_clock -name adc_clk -period 4.00 [get_ports adc_clk_in_p] -set_clock_groups -asynchronous -group {adc_clk} - - diff --git a/projects/ad9467_fmc/zed/system_constr.xdc b/projects/ad9467_fmc/zed/system_constr.xdc index 444a99fed..8b1d5c7d3 100644 --- a/projects/ad9467_fmc/zed/system_constr.xdc +++ b/projects/ad9467_fmc/zed/system_constr.xdc @@ -32,6 +32,3 @@ set_property -dict {PACKAGE_PIN A21 IOSTANDARD LVCMOS25} [get_ports spi_sdio # clocks create_clock -name adc_clk -period 4.00 [get_ports adc_clk_in_p] -set_clock_groups -asynchronous -group {adc_clk} - -