Makefiles: updated all makefiles adding clean functionality

main
Adrian Costina 2015-04-03 11:57:54 +03:00
parent 51b5e4ddc5
commit f79a152958
128 changed files with 1544 additions and 1145 deletions

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -47,59 +47,59 @@ clean:
make -C library/util_ccat clean
make -C library/util_cpack clean
make -C library/util_dac_unpack clean
make -C library/util_gmii_to_rgmii clean
make -C library/util_i2c_mixer clean
make -C library/util_pmod_adc clean
make -C library/util_pmod_fmeter clean
make -C library/util_rfifo clean
make -C library/util_sync_reset clean
make -C library/util_upack clean
make -C library/util_wfifo clean
.PHONY: lib
lib:
make -C library/axi_ad6676
make -C library/axi_ad7175
make -C library/axi_ad9122
make -C library/axi_ad9144
make -C library/axi_ad9152
make -C library/axi_ad9234
make -C library/axi_ad9250
make -C library/axi_ad9265
make -C library/axi_ad9361
make -C library/axi_ad9434
make -C library/axi_ad9467
make -C library/axi_ad9625
make -C library/axi_ad9643
make -C library/axi_ad9652
make -C library/axi_ad9671
make -C library/axi_ad9680
make -C library/axi_ad9739a
make -C library/axi_clkgen
make -C library/axi_dmac
make -C library/axi_fifo2f
make -C library/axi_fifo2s
make -C library/axi_hdmi_rx
make -C library/axi_hdmi_tx
make -C library/axi_i2s_adi
make -C library/axi_jesd_gt
make -C library/axi_mc_controller
make -C library/axi_mc_current_monitor
make -C library/axi_mc_speed
make -C library/axi_spdif_tx
make -C library/controllerperipheralhdladi_pcore
make -C library/util_adc_pack
make -C library/util_bsplit
make -C library/util_ccat
make -C library/util_cpack
make -C library/util_dac_unpack
make -C library/util_i2c_mixer
make -C library/util_pmod_adc
make -C library/util_pmod_fmeter
make -C library/util_rfifo
make -C library/util_sync_reset
make -C library/util_upack
make -C library/util_wfifo
-make -C axi_ad6676
-make -C axi_ad7175
-make -C axi_ad9122
-make -C axi_ad9144
-make -C axi_ad9152
-make -C axi_ad9234
-make -C axi_ad9250
-make -C axi_ad9265
-make -C axi_ad9361
-make -C axi_ad9434
-make -C axi_ad9467
-make -C axi_ad9625
-make -C axi_ad9643
-make -C axi_ad9652
-make -C axi_ad9671
-make -C axi_ad9680
-make -C axi_ad9739a
-make -C axi_clkgen
-make -C axi_dmac
-make -C axi_fifo2f
-make -C axi_fifo2s
-make -C axi_hdmi_rx
-make -C axi_hdmi_tx
-make -C axi_i2s_adi
-make -C axi_jesd_gt
-make -C axi_mc_controller
-make -C axi_mc_current_monitor
-make -C axi_mc_speed
-make -C axi_spdif_tx
-make -C controllerperipheralhdladi_pcore
-make -C util_adc_pack
-make -C util_bsplit
-make -C util_ccat
-make -C util_cpack
-make -C util_dac_unpack
-make -C util_gmii_to_rgmii
-make -C util_i2c_mixer
-make -C util_pmod_adc
-make -C util_pmod_fmeter
-make -C util_rfifo
-make -C util_upack
-make -C util_wfifo
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -25,8 +25,9 @@ M_DEPS += axi_ad6676_if.v
M_DEPS += axi_ad6676.v
M_DEPS += axi_ad6676_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -34,13 +35,17 @@ all: axi_ad6676.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad6676.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad6676_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad6676_ip.tcl >> axi_ad6676_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -24,8 +24,9 @@ M_DEPS += axi_ad7175.v
M_DEPS += axi_ad7175_channel.v
M_DEPS += clk_div.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -33,13 +34,17 @@ all: axi_ad7175.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad7175.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad7175_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad7175_ip.tcl >> axi_ad7175_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -30,8 +30,9 @@ M_DEPS += axi_ad9122_if.v
M_DEPS += axi_ad9122_constr.xdc
M_DEPS += axi_ad9122.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -39,13 +40,17 @@ all: axi_ad9122.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9122.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9122_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9122_ip.tcl >> axi_ad9122_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -27,8 +27,9 @@ M_DEPS += axi_ad9144_if.v
M_DEPS += axi_ad9144.v
M_DEPS += axi_ad9144_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -36,13 +37,17 @@ all: axi_ad9144.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9144.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9144_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9144_ip.tcl >> axi_ad9144_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -26,8 +26,9 @@ M_DEPS += axi_ad9152_core.v
M_DEPS += axi_ad9152_if.v
M_DEPS += axi_ad9152.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -35,13 +36,17 @@ all: axi_ad9152.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9152.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9152_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9152_ip.tcl >> axi_ad9152_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -26,8 +26,9 @@ M_DEPS += axi_ad9234_if.v
M_DEPS += axi_ad9234.v
M_DEPS += axi_ad9234_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -35,13 +36,17 @@ all: axi_ad9234.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9234.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9234_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9234_ip.tcl >> axi_ad9234_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -25,8 +25,9 @@ M_DEPS += axi_ad9250_channel.v
M_DEPS += axi_ad9250_if.v
M_DEPS += axi_ad9250.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -34,13 +35,17 @@ all: axi_ad9250.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9250.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9250_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9250_ip.tcl >> axi_ad9250_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -28,8 +28,9 @@ M_DEPS += axi_ad9265_if.v
M_DEPS += axi_ad9265_channel.v
M_DEPS += axi_ad9265.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -37,13 +38,17 @@ all: axi_ad9265.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9265.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9265_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9265_ip.tcl >> axi_ad9265_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -39,8 +39,9 @@ M_DEPS += axi_ad9361_tx_channel.v
M_DEPS += axi_ad9361_tx.v
M_DEPS += axi_ad9361.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -48,13 +49,17 @@ all: axi_ad9361.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9361.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9361_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9361_ip.tcl >> axi_ad9361_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -28,8 +28,9 @@ M_DEPS += axi_ad9434_pnmon.v
M_DEPS += axi_ad9434_core.v
M_DEPS += axi_ad9434.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -37,13 +38,17 @@ all: axi_ad9434.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9434.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9434_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9434_ip.tcl >> axi_ad9434_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -27,8 +27,9 @@ M_DEPS += axi_ad9467_if.v
M_DEPS += axi_ad9467_channel.v
M_DEPS += axi_ad9467.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -36,13 +37,17 @@ all: axi_ad9467.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9467.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9467_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9467_ip.tcl >> axi_ad9467_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -27,8 +27,9 @@ M_DEPS += axi_ad9625_if.v
M_DEPS += axi_ad9625.v
M_DEPS += axi_ad9625_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -36,13 +37,17 @@ all: axi_ad9625.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9625.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9625_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9625_ip.tcl >> axi_ad9625_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -31,8 +31,9 @@ M_DEPS += axi_ad9643_if.v
M_DEPS += axi_ad9643_constr.xdc
M_DEPS += axi_ad9643.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -40,13 +41,17 @@ all: axi_ad9643.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9643.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9643_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9643_ip.tcl >> axi_ad9643_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -29,8 +29,9 @@ M_DEPS += axi_ad9652_channel.v
M_DEPS += axi_ad9652_if.v
M_DEPS += axi_ad9652.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -38,13 +39,17 @@ all: axi_ad9652.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9652.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9652_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9652_ip.tcl >> axi_ad9652_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -26,8 +26,9 @@ M_DEPS += axi_ad9671_channel.v
M_DEPS += axi_ad9671_if.v
M_DEPS += axi_ad9671.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -35,13 +36,17 @@ all: axi_ad9671.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9671.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9671_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9671_ip.tcl >> axi_ad9671_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -26,8 +26,9 @@ M_DEPS += axi_ad9680_if.v
M_DEPS += axi_ad9680.v
M_DEPS += axi_ad9680_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -35,13 +36,17 @@ all: axi_ad9680.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9680.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9680_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9680_ip.tcl >> axi_ad9680_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -28,8 +28,9 @@ M_DEPS += axi_ad9739a_if.v
M_DEPS += axi_ad9739a_constr.xdc
M_DEPS += axi_ad9739a.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -37,13 +38,17 @@ all: axi_ad9739a.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_ad9739a.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_ad9739a_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9739a_ip.tcl >> axi_ad9739a_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -16,8 +16,9 @@ M_DEPS += ../common/up_drp_cntrl.v
M_DEPS += ../common/up_clkgen.v
M_DEPS += axi_clkgen.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -25,13 +26,17 @@ all: axi_clkgen.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_clkgen.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_clkgen_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_clkgen_ip.tcl >> axi_clkgen_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -35,8 +35,9 @@ M_DEPS += axi_dmac.v
M_DEPS += axi_repack.v
M_DEPS += axi_dmac_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -44,13 +45,17 @@ all: axi_dmac.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_dmac.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_dmac_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_dmac_ip.tcl >> axi_dmac_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,8 +14,9 @@ M_DEPS += ../common/ad_mem_asym.v
M_DEPS += axi_fifo2f.v
M_DEPS += axi_fifo2f_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -23,13 +24,17 @@ all: axi_fifo2f.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_fifo2f.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_fifo2f_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_fifo2f_ip.tcl >> axi_fifo2f_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -20,8 +20,9 @@ M_DEPS += axi_fifo2s_rd.v
M_DEPS += axi_fifo2s.v
M_DEPS += axi_fifo2s_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -29,13 +30,17 @@ all: axi_fifo2s.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_fifo2s.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_fifo2s_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_fifo2s_ip.tcl >> axi_fifo2s_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -21,10 +21,12 @@ M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_hdmi_rx.v
M_DEPS += axi_hdmi_rx.v
M_DEPS += axi_hdmi_rx_es.v
M_DEPS += axi_hdmi_rx_core.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -32,13 +34,17 @@ all: axi_hdmi_rx.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_hdmi_rx.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_hdmi_rx_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_hdmi_rx_ip.tcl >> axi_hdmi_rx_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -22,12 +22,14 @@ M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_hdmi_tx.v
M_DEPS += axi_hdmi_tx_vdma.v
M_DEPS += axi_hdmi_tx_es.v
M_DEPS += axi_hdmi_tx_core.v
M_DEPS += axi_hdmi_tx.v
M_DEPS += axi_hdmi_tx_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -35,13 +37,17 @@ all: axi_hdmi_tx.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_hdmi_tx.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_hdmi_tx_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_hdmi_tx_ip.tcl >> axi_hdmi_tx_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -21,8 +21,9 @@ M_DEPS += i2s_clkgen.vhd
M_DEPS += fifo_synchronizer.vhd
M_DEPS += axi_i2s_adi.vhd
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -30,13 +31,17 @@ all: axi_i2s_adi.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_i2s_adi.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_i2s_adi_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_i2s_adi_ip.tcl >> axi_i2s_adi_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -22,8 +22,9 @@ M_DEPS += ../common/up_gt.v
M_DEPS += axi_jesd_gt.v
M_DEPS += axi_jesd_gt_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -31,13 +32,17 @@ all: axi_jesd_gt.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_jesd_gt.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_jesd_gt_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_jesd_gt_ip.tcl >> axi_jesd_gt_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -21,11 +21,13 @@ M_DEPS += ../common/up_delay_cntrl.v
M_DEPS += ../common/up_adc_common.v
M_DEPS += ../common/up_adc_channel.v
M_DEPS += motor_driver.v
M_DEPS += delay.v
M_DEPS += control_registers.v
M_DEPS += axi_mc_controller.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -33,13 +35,17 @@ all: axi_mc_controller.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_mc_controller.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_mc_controller_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_mc_controller_ip.tcl >> axi_mc_controller_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -22,8 +22,9 @@ M_DEPS += dec256sinc24b.v
M_DEPS += ad7401.v
M_DEPS += axi_mc_current_monitor.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -31,13 +32,17 @@ all: axi_mc_current_monitor.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_mc_current_monitor.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_mc_current_monitor_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_mc_current_monitor_ip.tcl >> axi_mc_current_monitor_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -23,8 +23,9 @@ M_DEPS += speed_detector.v
M_DEPS += delay_30_degrees.v
M_DEPS += axi_mc_speed.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -32,13 +33,17 @@ all: axi_mc_speed.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_mc_speed.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_mc_speed_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_mc_speed_ip.tcl >> axi_mc_speed_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -18,8 +18,9 @@ M_DEPS += tx_encoder.vhd
M_DEPS += axi_spdif_tx.vhd
M_DEPS += axi_spdif_tx_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -27,13 +28,17 @@ all: axi_spdif_tx.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
axi_spdif_tx.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) axi_spdif_tx_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) axi_spdif_tx_ip.tcl >> axi_spdif_tx_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -113,8 +113,9 @@ M_DEPS += controllerperipheralhdladi_pcore_addr_decoder.v
M_DEPS += controllerperipheralhdladi_pcore_axi_lite.v
M_DEPS += controllerperipheralhdladi_pcore.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -122,13 +123,17 @@ all: controllerperipheralhdladi_pcore.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
controllerperipheralhdladi_pcore.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) controllerperipheralhdladi_pcore_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) controllerperipheralhdladi_pcore_ip.tcl >> controllerperipheralhdladi_pcore_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -11,8 +11,9 @@ M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_adc_pack.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -20,13 +21,17 @@ all: util_adc_pack.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
util_adc_pack.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) util_adc_pack_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) util_adc_pack_ip.tcl >> util_adc_pack_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,8 +12,9 @@ M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_bsplit.v
M_DEPS += util_bsplit_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -21,13 +22,17 @@ all: util_bsplit.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
util_bsplit.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) util_bsplit_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) util_bsplit_ip.tcl >> util_bsplit_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,8 +12,9 @@ M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_ccat.v
M_DEPS += util_ccat_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -21,13 +22,17 @@ all: util_ccat.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
util_ccat.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) util_ccat_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) util_ccat_ip.tcl >> util_ccat_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,8 +14,9 @@ M_DEPS += util_cpack_dsf.v
M_DEPS += util_cpack.v
M_DEPS += util_cpack_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -23,13 +24,17 @@ all: util_cpack.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
util_cpack.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) util_cpack_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) util_cpack_ip.tcl >> util_cpack_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -11,8 +11,9 @@ M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_dac_unpack.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -20,13 +21,17 @@ all: util_dac_unpack.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
util_dac_unpack.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) util_dac_unpack_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) util_dac_unpack_ip.tcl >> util_dac_unpack_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -0,0 +1,38 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
M_DEPS := util_gmii_to_rgmii_ip.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += mdc_mdio.v
M_DEPS += util_gmii_to_rgmii.v
C_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
all: util_gmii_to_rgmii.xpr
.PHONY: clean
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
util_gmii_to_rgmii.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_gmii_to_rgmii_ip.tcl >> util_gmii_to_rgmii_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -11,8 +11,9 @@ M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_i2c_mixer.vhd
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -20,13 +21,17 @@ all: util_i2c_mixer.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
util_i2c_mixer.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) util_i2c_mixer_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) util_i2c_mixer_ip.tcl >> util_i2c_mixer_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,8 +12,9 @@ M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_pmod_adc.v
M_DEPS +=
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -21,13 +22,17 @@ all: util_pmod_adc.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
util_pmod_adc.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) util_pmod_adc_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) util_pmod_adc_ip.tcl >> util_pmod_adc_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -16,8 +16,9 @@ M_DEPS += ../common/up_pmod.v
M_DEPS += util_pmod_fmeter.v
M_DEPS += util_pmod_fmeter_core.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -25,13 +26,17 @@ all: util_pmod_fmeter.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
util_pmod_fmeter.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) util_pmod_fmeter_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) util_pmod_fmeter_ip.tcl >> util_pmod_fmeter_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -11,8 +11,9 @@ M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_rfifo.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -20,13 +21,17 @@ all: util_rfifo.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
util_rfifo.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) util_rfifo_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) util_rfifo_ip.tcl >> util_rfifo_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,8 +14,9 @@ M_DEPS += util_upack_dsf.v
M_DEPS += util_upack.v
M_DEPS += util_upack_constr.xdc
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -23,13 +24,17 @@ all: util_upack.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
util_upack.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) util_upack_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) util_upack_ip.tcl >> util_upack_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,8 +12,9 @@ M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../common/ad_axis_inf_rx.v
M_DEPS += util_wfifo.v
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -21,13 +22,17 @@ all: util_wfifo.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
util_wfifo.xpr: $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) util_wfifo_ip.tcl
rm -rf $(F_LIST)
$(C_VIVADO) util_wfifo_ip.tcl >> util_wfifo_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,37 +2,37 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C fmcomms6 all
make -C fmcjesdadc1 all
make -C daq1 all
make -C fmcomms7 all
make -C cftl_cip all
make -C adv7511 all
make -C fmcadc5 all
make -C daq3 all
make -C ad9265_fmc all
make -C ad9434_fmc all
make -C cftl_std all
make -C motcon1_fmc all
make -C fmcadc4 all
make -C fmcomms5 all
make -C imageon all
make -C usdrx1 all
make -C ad6676evb all
make -C ad9467_fmc all
make -C fmcomms2_pr all
make -C fmcomms1 all
make -C fmcomms2 all
make -C ad9739a_fmc all
make -C fmcadc2 all
make -C motcon2_fmc all
make -C daq2 all
-make -C fmcomms6 all
-make -C fmcjesdadc1 all
-make -C daq1 all
-make -C fmcomms7 all
-make -C cftl_cip all
-make -C adv7511 all
-make -C fmcadc5 all
-make -C daq3 all
-make -C ad9265_fmc all
-make -C ad9434_fmc all
-make -C cftl_std all
-make -C motcon1_fmc all
-make -C fmcadc4 all
-make -C fmcomms5 all
-make -C imageon all
-make -C usdrx1 all
-make -C ad6676evb all
-make -C ad9467_fmc all
-make -C fmcomms2_pr all
-make -C fmcomms2 all
-make -C fmcomms1 all
-make -C ad9739a_fmc all
-make -C fmcadc2 all
-make -C motcon2_fmc all
-make -C daq2 all
.PHONY: clean
@ -60,8 +60,8 @@ clean-all:
make -C ad6676evb clean-all
make -C ad9467_fmc clean-all
make -C fmcomms2_pr clean-all
make -C fmcomms1 clean-all
make -C fmcomms2 clean-all
make -C fmcomms1 clean-all
make -C ad9739a_fmc clean-all
make -C fmcadc2 clean-all
make -C motcon2_fmc clean-all

View File

@ -2,14 +2,14 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C vc707 all
make -C zc706 all
-make -C vc707 all
-make -C zc706 all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,13 +13,14 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad6676.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -27,12 +28,12 @@ all: lib ad6676evb_vc707.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_bsplit clean
@ -40,8 +41,8 @@ clean-all: clean
ad6676evb_vc707.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,16 +13,17 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad6676.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -30,12 +31,12 @@ all: lib ad6676evb_zc706.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
@ -46,8 +47,8 @@ clean-all: clean
ad6676evb_zc706.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1
.PHONY: lib

View File

@ -2,13 +2,13 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C zc706 all
-make -C zc706 all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,15 +14,16 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/ad9265_bd.tcl
M_DEPS += ../../../library/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9265.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9265/axi_ad9265.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -30,12 +31,12 @@ all: lib ad9265_fmc_zc706.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
@ -45,8 +46,8 @@ clean-all: clean
ad9265_fmc_zc706.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad9265_fmc_zc706_vivado.log 2>&1
.PHONY: lib

View File

@ -2,13 +2,13 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C zc706 all
-make -C zc706 all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,14 +13,15 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/ad9434_bd.tcl
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9434.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9434/axi_ad9434.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -28,12 +29,12 @@ all: lib ad9434_fmc_zc706.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9434 clean
make -C ../../../library/axi_spdif_tx clean
@ -42,8 +43,8 @@ clean-all: clean
ad9434_fmc_zc706.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad9434_fmc_zc706_vivado.log 2>&1
.PHONY: lib

View File

@ -2,14 +2,14 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C kc705 all
make -C zed all
-make -C kc705 all
-make -C zed all
.PHONY: clean

View File

@ -2,21 +2,23 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
M_DEPS := system_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9467.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -24,19 +26,19 @@ all: lib ad9467_fmc_kc705.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9467 clean
ad9467_fmc_kc705.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad9467_fmc_kc705_vivado.log 2>&1
.PHONY: lib

View File

@ -2,26 +2,28 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
M_DEPS := system_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9467.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -29,12 +31,12 @@ all: lib ad9467_fmc_zed.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9467 clean
@ -45,8 +47,8 @@ clean-all: clean
ad9467_fmc_zed.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad9467_fmc_zed_vivado.log 2>&1
.PHONY: lib

View File

@ -2,13 +2,13 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C zc706 all
-make -C zc706 all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,14 +13,15 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/ad9739a_fmc_bd.tcl
M_DEPS += ../../../library/axi_ad9739a.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_ad9739a/axi_ad9739a.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -28,12 +29,12 @@ all: lib ad9739a_fmc_zc706.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_ad9739a clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
@ -42,8 +43,8 @@ clean-all: clean
ad9739a_fmc_zc706.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad9739a_fmc_zc706_vivado.log 2>&1
.PHONY: lib

View File

@ -2,20 +2,20 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C ac701 all
make -C kc705 all
make -C kcu105 all
make -C mitx045 all
make -C vc707 all
make -C zc702 all
make -C zc706 all
make -C zed all
-make -C ac701 all
-make -C kc705 all
-make -C kcu105 all
-make -C mitx045 all
-make -C vc707 all
-make -C zc702 all
-make -C zc706 all
-make -C zed all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,12 +13,13 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -26,20 +27,20 @@ all: lib adv7511_ac701.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_ac701.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_ac701_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,12 +13,13 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -26,20 +27,20 @@ all: lib adv7511_kc705.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_kc705.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_kc705_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,12 +14,13 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../common/adv7511_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -27,20 +28,20 @@ all: lib adv7511_kcu105.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_kcu105.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_kcu105_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,13 +12,14 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -26,12 +27,12 @@ all: lib adv7511_mitx045.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
@ -39,8 +40,8 @@ clean-all: clean
adv7511_mitx045.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_mitx045_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,12 +13,13 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -26,20 +27,20 @@ all: lib adv7511_vc707.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_vc707.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_vc707_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,12 +12,13 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -25,20 +26,20 @@ all: lib adv7511_zc702.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_zc702.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_zc702_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,12 +12,13 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -25,20 +26,20 @@ all: lib adv7511_zc706.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_zc706.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_zc706_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,14 +12,15 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -27,12 +28,12 @@ all: lib adv7511_zed.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean
@ -41,8 +42,8 @@ clean-all: clean
adv7511_zed.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_zed_vivado.log 2>&1
.PHONY: lib

View File

@ -2,13 +2,13 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C zed all
-make -C zed all
.PHONY: clean

View File

@ -2,27 +2,29 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
M_DEPS := system_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../common/cftl_cip_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_pmod_adc.xpr
M_DEPS += ../../../library/util_pmod_fmeter.xpr
M_DEPS += ../../../library/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_pmod_adc/util_pmod_adc.xpr
M_DEPS += ../../../library/util_pmod_fmeter/util_pmod_fmeter.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -30,36 +32,36 @@ all: lib cftl_cip_zed.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_pmod_adc clean
make -C ../../../library/util_pmod_fmeter clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_hdmi_tx clean
cftl_cip_zed.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> cftl_cip_zed_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_dmac
make -C ../../../library/util_pmod_adc
make -C ../../../library/util_pmod_fmeter
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_hdmi_tx
####################################################################################

View File

@ -2,13 +2,13 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C zed all
-make -C zed all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,14 +13,15 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../common/cftl_std_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -28,12 +29,12 @@ all: lib cftl_std_zed.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean
@ -42,8 +43,8 @@ clean-all: clean
cftl_std_zed.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> cftl_std_zed_vivado.log 2>&1
.PHONY: lib

View File

@ -2,13 +2,13 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C zc706 all
-make -C zc706 all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,17 +13,18 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/daq1_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9250.xpr
M_DEPS += ../../../library/axi_ad9122.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -31,36 +32,36 @@ all: lib daq1_zc706.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad9250 clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/axi_hdmi_tx clean
daq1_zc706.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> daq1_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9122
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad9250
make -C ../../../library/axi_ad9122
make -C ../../../library/axi_hdmi_tx
####################################################################################

View File

@ -2,16 +2,16 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C kc705 all
make -C kcu105 all
make -C vc707 all
make -C zc706 all
-make -C kc705 all
-make -C kcu105 all
-make -C vc707 all
-make -C zc706 all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,16 +14,17 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680.xpr
M_DEPS += ../../../library/axi_fifo2f.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_fifo2f/axi_fifo2f.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -31,35 +32,35 @@ all: lib daq2_kc705.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_ccat clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_fifo2f clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
daq2_kc705.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> daq2_kc705_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_fifo2f
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -15,16 +15,17 @@ M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680.xpr
M_DEPS += ../../../library/axi_fifo2f.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_fifo2f/axi_fifo2f.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -32,35 +33,35 @@ all: lib daq2_kcu105.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_ccat clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_fifo2f clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
daq2_kcu105.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_fifo2f
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,16 +14,17 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680.xpr
M_DEPS += ../../../library/axi_fifo2f.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_fifo2f/axi_fifo2f.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -31,35 +32,35 @@ all: lib daq2_vc707.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_ccat clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_fifo2f clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
daq2_vc707.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> daq2_vc707_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_fifo2f
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,19 +14,20 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2s.xpr
M_DEPS += ../../../library/axi_ad9680.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2s/axi_fifo2s.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -34,18 +35,18 @@ all: lib daq2_zc706.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_fifo2s clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_clkgen clean
@ -53,8 +54,8 @@ clean-all: clean
daq2_zc706.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> daq2_zc706_vivado.log 2>&1
.PHONY: lib
@ -63,8 +64,8 @@ lib:
make -C ../../../library/util_bsplit
make -C ../../../library/axi_fifo2s
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_clkgen

View File

@ -2,13 +2,13 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C zc706 all
-make -C zc706 all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,19 +14,20 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/daq3_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2s.xpr
M_DEPS += ../../../library/axi_ad9680.xpr
M_DEPS += ../../../library/axi_ad9152.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_ccat.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2s/axi_fifo2s.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -34,12 +35,12 @@ all: lib daq3_zc706.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_fifo2s clean
@ -53,8 +54,8 @@ clean-all: clean
daq3_zc706.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> daq3_zc706_vivado.log 2>&1
.PHONY: lib

View File

@ -2,14 +2,14 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C vc707 all
make -C zc706 all
-make -C vc707 all
-make -C zc706 all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,14 +14,15 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2f.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2f/axi_fifo2f.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -29,12 +30,12 @@ all: lib fmcadc2_vc707.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean
@ -43,8 +44,8 @@ clean-all: clean
fmcadc2_vc707.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,17 +14,18 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2s.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2s/axi_fifo2s.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -32,12 +33,12 @@ all: lib fmcadc2_zc706.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean
@ -49,8 +50,8 @@ clean-all: clean
fmcadc2_zc706.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcadc2_zc706_vivado.log 2>&1
.PHONY: lib

View File

@ -2,13 +2,13 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C zc706 all
-make -C zc706 all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,17 +14,18 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/fmcadc4_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2s.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9234.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2s/axi_fifo2s.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9234/axi_ad9234.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -32,12 +33,12 @@ all: lib fmcadc4_zc706.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_fifo2s clean
@ -49,8 +50,8 @@ clean-all: clean
fmcadc4_zc706.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcadc4_zc706_vivado.log 2>&1
.PHONY: lib

View File

@ -2,13 +2,13 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C vc707 all
-make -C vc707 all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,14 +14,15 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/fmcadc5_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2f.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2f/axi_fifo2f.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -29,12 +30,12 @@ all: lib fmcadc5_vc707.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean
@ -43,8 +44,8 @@ clean-all: clean
fmcadc5_vc707.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcadc5_vc707_vivado.log 2>&1
.PHONY: lib

View File

@ -2,17 +2,17 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C a5gt all
make -C a5soc all
make -C kc705 all
make -C vc707 all
make -C zc706 all
-make -C a5gt all
-make -C a5soc all
-make -C kc705 all
-make -C vc707 all
-make -C zc706 all
.PHONY: clean

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,13 +13,14 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9250.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -27,12 +28,12 @@ all: lib fmcjesdadc1_kc705.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_dmac clean
@ -40,8 +41,8 @@ clean-all: clean
fmcjesdadc1_kc705.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcjesdadc1_kc705_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,13 +13,14 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9250.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -27,12 +28,12 @@ all: lib fmcjesdadc1_vc707.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_dmac clean
@ -40,8 +41,8 @@ clean-all: clean
fmcjesdadc1_vc707.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcjesdadc1_vc707_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,16 +13,17 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9250.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -30,12 +31,12 @@ all: lib fmcjesdadc1_zc706.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_dmac clean
@ -46,8 +47,8 @@ clean-all: clean
fmcjesdadc1_zc706.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcjesdadc1_zc706_vivado.log 2>&1
.PHONY: lib

View File

@ -2,18 +2,18 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C ac701 all
make -C kc705 all
make -C vc707 all
make -C zc702 all
make -C zc706 all
make -C zed all
-make -C ac701 all
-make -C kc705 all
-make -C vc707 all
-make -C zc702 all
-make -C zc706 all
-make -C zed all
.PHONY: clean

View File

@ -2,22 +2,26 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
M_DEPS := system_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/axi_ad9122.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9643.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -25,25 +29,27 @@ all: lib fmcomms1_ac701.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9643 clean
fmcomms1_ac701.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms1_ac701_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9643

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,13 +14,14 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9643.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -28,12 +29,12 @@ all: lib fmcomms1_kc705.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
@ -41,8 +42,8 @@ clean-all: clean
fmcomms1_kc705.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms1_kc705_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,13 +14,14 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9643.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -28,12 +29,12 @@ all: lib fmcomms1_vc707.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
@ -41,8 +42,8 @@ clean-all: clean
fmcomms1_vc707.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms1_vc707_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,16 +14,17 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9643.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -31,12 +32,12 @@ all: lib fmcomms1_zc702.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
@ -47,8 +48,8 @@ clean-all: clean
fmcomms1_zc702.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms1_zc702_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,16 +14,17 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9643.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -31,12 +32,12 @@ all: lib fmcomms1_zc706.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
@ -47,8 +48,8 @@ clean-all: clean
fmcomms1_zc706.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms1_zc706_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,7 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,18 +14,19 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9643.xpr
M_DEPS += ../../../library/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -33,12 +34,12 @@ all: lib fmcomms1_zed.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
@ -51,8 +52,8 @@ clean-all: clean
fmcomms1_zed.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms1_zed_vivado.log 2>&1
.PHONY: lib

View File

@ -2,21 +2,21 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
make -C ac701 all
make -C c5soc all
make -C kc705 all
make -C mitx045 all
make -C rfsom all
make -C vc707 all
make -C zc702 all
make -C zc706 all
make -C zed all
-make -C ac701 all
-make -C c5soc all
-make -C kc705 all
-make -C mitx045 all
-make -C rfsom all
-make -C vc707 all
-make -C zc702 all
-make -C zc706 all
-make -C zed all
.PHONY: clean

View File

@ -2,23 +2,27 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Wed Apr 1 16:22:09 2015
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
M_DEPS := system_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../../library/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack.xpr
M_DEPS += ../../../library/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9361.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
C_VIVADO := vivado -mode batch -source
C_VIVADO := vivado -mode batch -script
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
.PHONY: all
@ -26,27 +30,29 @@ all: lib fmcomms2_ac701.xpr
.PHONY: clean
clean:
$(C_VIVADO) $(CLEAN_SCRIPT)
clean: clean-all
.PHONY: clean-all
clean-all: clean
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9361 clean
fmcomms2_ac701.xpr: lib $(M_DEPS)
$(C_VIVADO) $(CLEAN_SCRIPT)
$(C_VIVADO) system_project.tcl
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms2_ac701_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9361

Some files were not shown because too many files have changed in this diff Show More